1
|
Abdelrahman D, Iseli R, Musya M, Jinnai B, Fukami S, Yuasa T, Sai H, Wiesner UB, Saba M, Wilts BD, Steiner U, Llandro J, Gunkel I. Directed Self-Assembly of Diamond Networks in Triblock Terpolymer Films on Patterned Substrates. ACS APPLIED MATERIALS & INTERFACES 2023; 15:57981-57991. [PMID: 37989271 PMCID: PMC10739600 DOI: 10.1021/acsami.3c10619] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 07/20/2023] [Revised: 10/13/2023] [Accepted: 10/17/2023] [Indexed: 11/23/2023]
Abstract
Block copolymers (BCPs) are particularly effective in creating soft nanostructured templates for transferring complex 3D network structures into inorganic materials that are difficult to fabricate by other methods. However, achieving control of the local ordering within these 3D networks over large areas remains a significant obstacle to advancing material properties. Here, we address this challenge by directing the self-assembly of a 3D alternating diamond morphology by solvent vapor annealing of a triblock terpolymer film on a chemically patterned substrate. The hexagonal substrate patterns were designed to match a (111) plane of the diamond lattice. Commensurability between the sparse substrate pattern and the BCP lattice produced a uniformly ordered diamond network within the polymer film, as confirmed by a combination of atomic force microscopy and cross-sectional imaging using focused ion beam scanning electron microscopy. The successful replication of the complex and well-ordered 3D network structure in gold promises to advance optical metamaterials and has potential applications in nanophotonics.
Collapse
Affiliation(s)
- Doha Abdelrahman
- Adolphe
Merkle Institute, University of Fribourg, Chemin des Verdiers 4, 1700 Fribourg, Switzerland
| | - René Iseli
- Adolphe
Merkle Institute, University of Fribourg, Chemin des Verdiers 4, 1700 Fribourg, Switzerland
| | - Michimasa Musya
- Laboratory
for Nanoelectronics and Spintronics, Research
Institute of Electrical Communication, Tohoku University, 2-1-1 Katahira,
Aoba-ku, Sendai 980-8577, Japan
| | - Butsurin Jinnai
- WPI
Advanced Institute for Materials Research, Tohoku University, 2-1-1 Katahira,
Aoba-ku, Sendai 980-8577, Japan
| | - Shunsuke Fukami
- Laboratory
for Nanoelectronics and Spintronics, Research
Institute of Electrical Communication, Tohoku University, 2-1-1 Katahira,
Aoba-ku, Sendai 980-8577, Japan
- WPI
Advanced Institute for Materials Research, Tohoku University, 2-1-1 Katahira,
Aoba-ku, Sendai 980-8577, Japan
- Center
for Science and Innovation in Spintronics, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577, Japan
- Center
for Innovative Integrated Electronic Systems, Tohoku University, 468-1 Aramaki Aza Aoba, Aoba-ku, Sendai 980-0845, Japan
- Inamori
Research Institute for Science, Kyoto 600-8411, Japan
| | - Takeshi Yuasa
- Department
of Materials Science and Engineering, Cornell
University, 214 Bard Hall, Ithaca, New
York 14853-1501, United States
| | - Hiroaki Sai
- Department
of Materials Science and Engineering, Cornell
University, 214 Bard Hall, Ithaca, New
York 14853-1501, United States
| | - Ulrich B. Wiesner
- Department
of Materials Science and Engineering, Cornell
University, 214 Bard Hall, Ithaca, New
York 14853-1501, United States
| | - Matthias Saba
- Adolphe
Merkle Institute, University of Fribourg, Chemin des Verdiers 4, 1700 Fribourg, Switzerland
- Swiss
National Center of Competence in Research (NCCR) Bio-Inspired Materials, University of Fribourg, Chemin des Verdiers 4, 1700 Fribourg, Switzerland
| | - Bodo D. Wilts
- Adolphe
Merkle Institute, University of Fribourg, Chemin des Verdiers 4, 1700 Fribourg, Switzerland
- Department
of Chemistry and Physics of Materials, University
of Salzburg, Jakob-Haringer-Str. 2a, Salzburg 5020, Austria
- Swiss
National Center of Competence in Research (NCCR) Bio-Inspired Materials, University of Fribourg, Chemin des Verdiers 4, 1700 Fribourg, Switzerland
| | - Ullrich Steiner
- Adolphe
Merkle Institute, University of Fribourg, Chemin des Verdiers 4, 1700 Fribourg, Switzerland
- Swiss
National Center of Competence in Research (NCCR) Bio-Inspired Materials, University of Fribourg, Chemin des Verdiers 4, 1700 Fribourg, Switzerland
| | - Justin Llandro
- Laboratory
for Nanoelectronics and Spintronics, Research
Institute of Electrical Communication, Tohoku University, 2-1-1 Katahira,
Aoba-ku, Sendai 980-8577, Japan
- Center
for Science and Innovation in Spintronics, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577, Japan
| | - Ilja Gunkel
- Adolphe
Merkle Institute, University of Fribourg, Chemin des Verdiers 4, 1700 Fribourg, Switzerland
- Swiss
National Center of Competence in Research (NCCR) Bio-Inspired Materials, University of Fribourg, Chemin des Verdiers 4, 1700 Fribourg, Switzerland
| |
Collapse
|
2
|
Esmeraldo Paiva A, Gerlt MS, Läubli NF, Prochukhan N, Baez Vasquez JF, Kaminski Schierle GS, Morris MA. High Aspect Ratio Nanoscale Pores through BCP-Based Metal Oxide Masks and Advanced Dry Etching. ACS APPLIED MATERIALS & INTERFACES 2023; 15:57960-57969. [PMID: 37861980 PMCID: PMC10739579 DOI: 10.1021/acsami.3c09863] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 07/10/2023] [Revised: 09/29/2023] [Accepted: 10/04/2023] [Indexed: 10/21/2023]
Abstract
The reliable and regular modification of the surface properties of substrates plays a crucial role in material research and the development of functional surfaces. A key aspect of this is the development of the surface pores and topographies. These can confer specific advantages such as high surface area as well as specific functions such as hydrophobic properties. Here, we introduce a combination of nanoscale self-assembled block-copolymer-based metal oxide masks with optimized deep reactive ion etching (DRIE) of silicon to permit the fabrication of porous topographies with aspect ratios of up to 50. Following the evaluation of our procedure and involved parameters using various techniques, such as AFM or SEM, the suitability of our features for applications relying on high light absorption as well as efficient thermal management is explored and discussed in further detail.
Collapse
Affiliation(s)
- Aislan Esmeraldo Paiva
- AMBER
Research Centre/School of Chemistry, Trinity
College Dublin, Dublin D02 CP49, Ireland
| | - Michael S. Gerlt
- Department
of Biomedical Engineering, Lund University, Lund 22363, Sweden
- Department
of Mechanical and Process Engineering, ETH
Zürich, Zürich 8092, Switzerland
| | - Nino F. Läubli
- Department
of Chemical Engineering and Biotechnology, University of Cambridge, Cambridge CB3 0AS, U.K.
| | - Nadezda Prochukhan
- AMBER
Research Centre/School of Chemistry, Trinity
College Dublin, Dublin D02 CP49, Ireland
| | | | | | - Michael A. Morris
- AMBER
Research Centre/School of Chemistry, Trinity
College Dublin, Dublin D02 CP49, Ireland
| |
Collapse
|
3
|
Xiang L, Li Q, Li C, Yang Q, Xu F, Mai Y. Block Copolymer Self-Assembly Directed Synthesis of Porous Materials with Ordered Bicontinuous Structures and Their Potential Applications. ADVANCED MATERIALS (DEERFIELD BEACH, FLA.) 2023; 35:e2207684. [PMID: 36255138 DOI: 10.1002/adma.202207684] [Citation(s) in RCA: 28] [Impact Index Per Article: 28.0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 08/22/2022] [Revised: 10/03/2022] [Indexed: 06/16/2023]
Abstract
Porous materials with their ordered bicontinuous structures have attracted great interest owing to ordered periodic structures as well as 3D interconnected network and pore channels. Bicontinuous structures may favor efficient mass diffusion to the interior of materials, thus increasing the utilization ratio of active sites. In addition, ordered bicontinuous structures confer materials with exceptional optical and magnetic properties, including tunable photonic bandgap, negative refraction, and multiple equivalent magnetization configurations. The attractive structural advantages and physical properties have inspired people to develop strategies for preparing bicontinuous-structured porous materials. Among a few synthetic approaches, the self-assembly of block copolymers represents a versatile strategy to prepare various bicontinuous-structured functional materials with pore sizes and lattice parameters ranging from 1 to 500 nm. This article overviews progress in this appealing area, with an emphasis on the synthetic strategies, the structural control (including topologies, pore sizes, and unit cell parameters), and their potential applications in energy storage and conversion, metamaterials, photonic crystals, cargo delivery and release, nanoreactors, and biomolecule selection.
Collapse
Affiliation(s)
- Luoxing Xiang
- School of Chemistry and Chemical Engineering, Frontiers Science Center for Transformative Molecules, Shanghai Key Laboratory of Electrical Insulation and Thermal Ageing, Shanghai Jiao Tong University, 800 Dongchuan Road, Shanghai, 200240, China
| | - Qian Li
- School of Chemistry and Chemical Engineering, Frontiers Science Center for Transformative Molecules, Shanghai Key Laboratory of Electrical Insulation and Thermal Ageing, Shanghai Jiao Tong University, 800 Dongchuan Road, Shanghai, 200240, China
| | - Chen Li
- School of Chemistry and Chemical Engineering, Frontiers Science Center for Transformative Molecules, Shanghai Key Laboratory of Electrical Insulation and Thermal Ageing, Shanghai Jiao Tong University, 800 Dongchuan Road, Shanghai, 200240, China
| | - Qiqi Yang
- School of Chemistry and Chemical Engineering, Frontiers Science Center for Transformative Molecules, Shanghai Key Laboratory of Electrical Insulation and Thermal Ageing, Shanghai Jiao Tong University, 800 Dongchuan Road, Shanghai, 200240, China
| | - Fugui Xu
- School of Chemistry and Chemical Engineering, Frontiers Science Center for Transformative Molecules, Shanghai Key Laboratory of Electrical Insulation and Thermal Ageing, Shanghai Jiao Tong University, 800 Dongchuan Road, Shanghai, 200240, China
| | - Yiyong Mai
- School of Chemistry and Chemical Engineering, Frontiers Science Center for Transformative Molecules, Shanghai Key Laboratory of Electrical Insulation and Thermal Ageing, Shanghai Jiao Tong University, 800 Dongchuan Road, Shanghai, 200240, China
| |
Collapse
|
4
|
Carthew J, Taylor JBJ, Garcia-Cruz MR, Kiaie N, Voelcker NH, Cadarso VJ, Frith JE. The Bumpy Road to Stem Cell Therapies: Rational Design of Surface Topographies to Dictate Stem Cell Mechanotransduction and Fate. ACS APPLIED MATERIALS & INTERFACES 2022; 14:23066-23101. [PMID: 35192344 DOI: 10.1021/acsami.1c22109] [Citation(s) in RCA: 4] [Impact Index Per Article: 2.0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/14/2023]
Abstract
Cells sense and respond to a variety of physical cues from their surrounding microenvironment, and these are interpreted through mechanotransductive processes to inform their behavior. These mechanisms have particular relevance to stem cells, where control of stem cell proliferation, potency, and differentiation is key to their successful application in regenerative medicine. It is increasingly recognized that surface micro- and nanotopographies influence stem cell behavior and may represent a powerful tool with which to direct the morphology and fate of stem cells. Current progress toward this goal has been driven by combined advances in fabrication technologies and cell biology. Here, the capacity to generate precisely defined micro- and nanoscale topographies has facilitated the studies that provide knowledge of the mechanotransducive processes that govern the cellular response as well as knowledge of the specific features that can drive cells toward a defined differentiation outcome. However, the path forward is not fully defined, and the "bumpy road" that lays ahead must be crossed before the full potential of these approaches can be fully exploited. This review focuses on the challenges and opportunities in applying micro- and nanotopographies to dictate stem cell fate for regenerative medicine. Here, key techniques used to produce topographic features are reviewed, such as photolithography, block copolymer lithography, electron beam lithography, nanoimprint lithography, soft lithography, scanning probe lithography, colloidal lithography, electrospinning, and surface roughening, alongside their advantages and disadvantages. The biological impacts of surface topographies are then discussed, including the current understanding of the mechanotransductive mechanisms by which these cues are interpreted by the cells, as well as the specific effects of surface topographies on cell differentiation and fate. Finally, considerations in translating these technologies and their future prospects are evaluated.
Collapse
Affiliation(s)
- James Carthew
- Materials Science and Engineering, Monash University, Clayton, Victoria 3800, Australia
| | - Jason B J Taylor
- Mechanical and Aerospace Engineering, Monash University, Clayton, Victoria 3800, Australia
| | - Maria R Garcia-Cruz
- Materials Science and Engineering, Monash University, Clayton, Victoria 3800, Australia
| | - Nasim Kiaie
- Materials Science and Engineering, Monash University, Clayton, Victoria 3800, Australia
| | - Nicolas H Voelcker
- Materials Science and Engineering, Monash University, Clayton, Victoria 3800, Australia
- Melbourne Centre for Nanofabrication, Victorian Node of the Australian National Fabrication Facility, Clayton, Victoria 3168, Australia
- Monash Institute of Pharmaceutical Sciences, Monash University, 381 Royal Parade, Parkville, Victoria 3052, Australia
- ARC Centre for Cell and Tissue Engineering Technologies, Monash University, Clayton, Victoria 3800, Australia
- CSIRO Manufacturing, Bayview Avenue, Clayton, VIC 3168, Australia
| | - Victor J Cadarso
- Mechanical and Aerospace Engineering, Monash University, Clayton, Victoria 3800, Australia
- Centre to Impact Antimicrobial Resistance, Monash University, Clayton, Victoria 3800, Australia
| | - Jessica E Frith
- Materials Science and Engineering, Monash University, Clayton, Victoria 3800, Australia
- ARC Centre for Cell and Tissue Engineering Technologies, Monash University, Clayton, Victoria 3800, Australia
- Australian Regenerative Medicine Institute, Monash University, Clayton, Victoria 3800, Australia
| |
Collapse
|
5
|
Ghoshal T, Senthamaraikannan R, Shaw MT, Lundy R, Selkirk A, Morris MA. Fabrication of Graphoepitaxial Gate-All-Around Si Circuitry Patterned Nanowire Arrays Using Block Copolymer Assisted Hard Mask Approach. ACS NANO 2021; 15:9550-9558. [PMID: 34042425 PMCID: PMC8291765 DOI: 10.1021/acsnano.0c09232] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.3] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 11/04/2020] [Accepted: 05/20/2021] [Indexed: 05/25/2023]
Abstract
We demonstrate the fabrication of sub-20 nm gate-all-around silicon (Si) nanowire field effect transistor structures using self-assembly. To create nanopatterned Si feature arrays, a block-copolymer-assisted hard mask approach was utilized using a topographically patterned substrate with well-defined Si3N4 features for graphoepitaxially alignment of the self-assembled patterns. Microphase-separated long-range ordered polystyrene-b-poly(ethylene oxide) (PS-b-PEO) block-copolymer-derived dot and line nanopatterns were achieved by a thermo-solvent approach within the substrate topographically defined channels of various widths and lengths. Solvent annealing parameters (temperature, annealing time, etc.) were varied to achieve the desired patterns. The BCP structures were modified by anhydrous ethanol to facilitate insertion of iron oxide features within the graphoepitaxial trenches that maintained the parent BCP arrangements. Vertical and horizontal ordered Si nanowire structures within trenches were fabricated using the iron oxide features as hard masks in an inductively coupled plasma (ICP) etch process. Cross-sectional micrographs depict wires of persistent width and flat sidewalls indicating the effectiveness of the mask. The aspect ratios could be varied by varying etch times. The sharp boundaries between the transistor components was also examined through the elemental mapping.
Collapse
Affiliation(s)
- Tandra Ghoshal
- School
of Chemistry, AMBER and CRANN, Trinity College
Dublin, Dublin, Ireland D02 AK60
| | | | - Matthew T. Shaw
- Intel
Ireland Ltd., Collinstown Industrial
Park, Leixlip, Co. Kildare, Ireland W23 CX68
| | - Ross Lundy
- School
of Chemistry, AMBER and CRANN, Trinity College
Dublin, Dublin, Ireland D02 AK60
| | - Andrew Selkirk
- School
of Chemistry, AMBER and CRANN, Trinity College
Dublin, Dublin, Ireland D02 AK60
| | - Michael A. Morris
- School
of Chemistry, AMBER and CRANN, Trinity College
Dublin, Dublin, Ireland D02 AK60
| |
Collapse
|
6
|
Zhong W, Liu F, Wang C. Probing morphology and chemistry in complex soft materials with in situresonant soft x-ray scattering. JOURNAL OF PHYSICS. CONDENSED MATTER : AN INSTITUTE OF PHYSICS JOURNAL 2021; 33:313001. [PMID: 34140434 DOI: 10.1088/1361-648x/ac0194] [Citation(s) in RCA: 3] [Impact Index Per Article: 1.0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 03/10/2021] [Accepted: 05/14/2021] [Indexed: 06/12/2023]
Abstract
Small angle scattering methodologies have been evolving at fast pace over the past few decades due to the ever-increasing demands for more details on the complex nanostructures of multiphase and multicomponent soft materials like polymer assemblies and biomaterials. Currently, element-specific and contrast variation techniques such as resonant (elastic) soft/tender x-ray scattering, anomalous small angle x-ray scattering, and contrast-matching small angle neutron scattering, or combinations of above are routinely used to extract the chemical composition and spatial arrangement of constituent elements at multiple length scales and examine electronic ordering phenomena. Here we present some recent advances in selectively characterizing structural architectures of complex soft materials, which often contain multi-components with a wide range of length scales and multiple functionalities, where novel resonant scattering approaches have been demonstrated to decipher a higher level of structural complexity that correlates to functionality. With the advancement of machine learning and artificial intelligence assisted correlative analysis, high-throughput and autonomous experiments would open a new paradigm of material research. Further development of resonant x-ray scattering instrumentation with crossplatform sample environments will enable multimodalin situ/operando characterization of the system dynamics with much improved spatial and temporal resolution.
Collapse
Affiliation(s)
- Wenkai Zhong
- Frontiers Science Center for Transformative Molecules, In-situ Center for Physical Science, and Center of Hydrogen Science, School of Chemistry and Chemical Engineering, Shanghai Jiao Tong University, Shanghai 200240, People's Republic of China
- Advanced Light Source, Lawrence Berkeley National Laboratory, Berkeley, CA 94720, United States of America
| | - Feng Liu
- Frontiers Science Center for Transformative Molecules, In-situ Center for Physical Science, and Center of Hydrogen Science, School of Chemistry and Chemical Engineering, Shanghai Jiao Tong University, Shanghai 200240, People's Republic of China
| | - Cheng Wang
- Advanced Light Source, Lawrence Berkeley National Laboratory, Berkeley, CA 94720, United States of America
| |
Collapse
|
7
|
Lee WK, Robinson JT, Whitener KE. Graphene-enabled block copolymer lithography transfer to arbitrary substrates. NANO EXPRESS 2021. [DOI: 10.1088/2632-959x/abefa0] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 11/12/2022]
Abstract
Abstract
We describe a method for phase separating and transferring block copolymer (BCP) nanoscale patterns to arbitrary substrates for surface-independent nanolithography. The enabling technology is a hydrogenated or oxidized graphene thin film that only weakly adheres to its substrate. BCPs are applied to these graphene-based materials and solvent annealed to effect nanoscale phase separation. Then, taking advantage of the weak interaction of the graphene film and its substrate, the BCP/graphene stack is delaminated easily in water. A target substrate is then used to retrieve the stack, which can then serve as a lithographic mask. The use of water as a lift-off agent allows for chemically mild retrieval of the phase-separated BCP, extending the BCP lithography technique to essentially arbitrary substrates. We demonstrate this graphene-enabled BCP lithography on silicon nitride and polyethylene. We also show that using reduced graphene oxide (RGO) as a thin film enables the transfer of wafer-scale BCP films and lithography on SiOx and Si. We use an RGO support to produce phase-separated BCP solvent-annealed patterns on polystyrene, a result which is not possible using standard BCP solvent annealing and which shows the utility of this technique. Finally, we demonstrate the ability to create nanopatterns of higher complexity by stacking multiple BCP masks, a capability that is not possible using conventional BCP lithography. This technique may have applications in fabricating nanoporous membranes and photonically active coatings.
Collapse
|
8
|
Zhang H, Wang B, Wang G, Shen C, Chen J, Reiter G, Zhang B. Dewetting-Induced Alignment and Ordering of Cylindrical Mesophases in Thin Block Copolymer Films. Macromolecules 2020. [DOI: 10.1021/acs.macromol.0c01233] [Citation(s) in RCA: 3] [Impact Index Per Article: 0.8] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 02/05/2023]
Affiliation(s)
- Heng Zhang
- School of Materials Science & Engineering, Zhengzhou University, Zhengzhou 450001, P. R. China
| | - Binghua Wang
- School of Materials Science & Engineering, Zhengzhou University, Zhengzhou 450001, P. R. China
| | - Gang Wang
- School of Materials Science & Engineering, Zhengzhou University, Zhengzhou 450001, P. R. China
| | - Changyu Shen
- School of Materials Science & Engineering, Zhengzhou University, Zhengzhou 450001, P. R. China
| | - Jingbo Chen
- School of Materials Science & Engineering, Zhengzhou University, Zhengzhou 450001, P. R. China
| | - Günter Reiter
- Institute of Physics, University of Freiburg, 79104 Freiburg, Germany
| | - Bin Zhang
- School of Materials Science & Engineering, Zhengzhou University, Zhengzhou 450001, P. R. China
| |
Collapse
|
9
|
Löfstrand A, Svensson J, Wernersson LE, Maximov I. Feature size control using surface reconstruction temperature in block copolymer lithography for InAs nanowire growth. NANOTECHNOLOGY 2020; 31:325303. [PMID: 32330916 DOI: 10.1088/1361-6528/ab8cef] [Citation(s) in RCA: 3] [Impact Index Per Article: 0.8] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 05/12/2023]
Abstract
Here we present a method to control the size of the openings in hexagonally organized BCP thin films of poly(styrene)-block-poly(4-vinylpyridine) (PS-b-P4VP) by using surface reconstruction. The surface reconstruction is based on selective swelling of the P4VP block in ethanol, and its extraction to the surface of the film, resulting in pores upon drying. We found that the BCP pore diameter increases with ethanol immersion temperature. In our case, the temperature range 18 to 60 °C allowed fine-tuning of the pore size between 14 and 22 nm. A conclusion is that even though the molecular weight of the respective polymer blocks is fixed, the PS-b-P4VP pore diameter can be tuned by controlling temperature during surface reconstruction. These results can be used for BCP-based nanofabrication in general, and for vertical nanowire growth in particular, where high pattern density and diameter control are of importance. Finally, we demonstrate successful growth of indium arsenide InAs vertical nanowires by selective-area metal-organic vapor phase epitaxy (MOVPE), using a silicon nitride mask patterned by the proposed PS-b-P4VP surface reconstruction lithography method.
Collapse
|
10
|
Hirao A, Matsuo Y, Goseki R. Synthesis of novel block polymers with unusual block sequences by methodology combining living anionic polymerization and designed linking chemistry. JOURNAL OF POLYMER RESEARCH 2019. [DOI: 10.1007/s10965-019-1941-z] [Citation(s) in RCA: 6] [Impact Index Per Article: 1.2] [Reference Citation Analysis] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 10/25/2022]
|
11
|
Jiang Z, Alam MM, Cheng HH, Blakey I, Whittaker AK. Spatial arrangement of block copolymer nanopatterns using a photoactive homopolymer substrate. NANOSCALE ADVANCES 2019; 1:3078-3085. [PMID: 36133582 PMCID: PMC9418028 DOI: 10.1039/c9na00095j] [Citation(s) in RCA: 6] [Impact Index Per Article: 1.2] [Reference Citation Analysis] [Abstract] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 02/16/2019] [Accepted: 06/24/2019] [Indexed: 06/10/2023]
Abstract
Spatial control of the orientation of block copolymers (BCPs) in thin films offers enormous opportunities for practical nanolithography applications. In this study, we demonstrate the use of a substrate comprised of poly(4-acetoxystyrene) to spatially control interfacial interactions and block copolymer orientation over different length scales. Upon UV irradiation poly(4-acetoxystyrene) undergoes a photo-Fries rearrangement yielding phenolic groups available for further functionalization. The wetting behaviour of PS-b-PMMA deposited on the poly(4-acetoxystyrene) films could be precisely controlled through controlling the UV irradiation dose. After exposure, and a mild post-exposure treatment, the substrate switches from asymmetric, to neutral and then to symmetric wetting. Upon exposure through photomasks, a range of high fidelity micro-patterns consisting of perpendicularly oriented lamellar microdomains were generated. Furthermore, the resolution of chemically patterned poly(4-acetoxystyrene) substrate could be further narrowed to submicrometer scale using electron beam lithography. When the BCP was annealed on an e-beam modified poly(4-acetoxystyrene) surface, the interface between domains of parallel and perpendicular orientation of the BCPs was well defined, especially when compared with the substrates patterned using the photomask.
Collapse
Affiliation(s)
- Zhen Jiang
- Australian Institute for Bioengineering and Nanotechnology, The University of Queensland St Lucia 4072 Australia
| | - Md Mahbub Alam
- Australian Institute for Bioengineering and Nanotechnology, The University of Queensland St Lucia 4072 Australia
| | - Han-Hao Cheng
- Australian National Fabrication Facility-QLD Node, The University of Queensland St Lucia 4072 Australia
| | - Idriss Blakey
- Australian Institute for Bioengineering and Nanotechnology, The University of Queensland St Lucia 4072 Australia
| | - Andrew K Whittaker
- Australian Institute for Bioengineering and Nanotechnology, The University of Queensland St Lucia 4072 Australia
- ARC Centre of Excellence in Convergent Bio-Nano Science and Technology, The University of Queensland St Lucia 4072 Australia
| |
Collapse
|
12
|
Zhang W, Pan H, Lyu X, Gu K, Xiao A, Shen Z, Fan XH. 5 nm Ordered Structures Self-Assembled by C 2 -Symmetric Hybrids with Polyhedral Oligomeric Silsesquioxane and Hexa-peri-Hexabenzocoronene. Chemphyschem 2019; 20:1759-1764. [PMID: 31077530 DOI: 10.1002/cphc.201900196] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Revised: 05/09/2019] [Indexed: 11/09/2022]
Abstract
Hybrids consisting of polyhedral oligomeric silsesquioxane (POSS) and hexa-peri-hexabenzocoronene (HBC) with a dumbbell topology and C2 symmetry were designed and synthesized. They self-assemble into 5 nm ordered structures. In particular, the increased steric effect with increasing POSS units stabilizes a square columnar phase (Colsqu ) which is important in nanotemplating. These hybrids containing discotic liquid crystal HBC and POSS units have an excellent etching contrast and present an approach to obtain 5 nm nanopatterns.
Collapse
Affiliation(s)
- Wei Zhang
- Beijing National Laboratory for Molecular Sciences Key Laboratory of Polymer Chemistry and Physics of Ministry of Education, Center for Soft Matter Science and Engineering, and College of Chemistry and Molecular Engineering, Peking University, Beijing, 100871, China
| | - Hongbing Pan
- Beijing National Laboratory for Molecular Sciences Key Laboratory of Polymer Chemistry and Physics of Ministry of Education, Center for Soft Matter Science and Engineering, and College of Chemistry and Molecular Engineering, Peking University, Beijing, 100871, China
| | - Xiaolin Lyu
- Beijing National Laboratory for Molecular Sciences Key Laboratory of Polymer Chemistry and Physics of Ministry of Education, Center for Soft Matter Science and Engineering, and College of Chemistry and Molecular Engineering, Peking University, Beijing, 100871, China
| | - Kehua Gu
- Beijing National Laboratory for Molecular Sciences Key Laboratory of Polymer Chemistry and Physics of Ministry of Education, Center for Soft Matter Science and Engineering, and College of Chemistry and Molecular Engineering, Peking University, Beijing, 100871, China
| | - Anqi Xiao
- Beijing National Laboratory for Molecular Sciences Key Laboratory of Polymer Chemistry and Physics of Ministry of Education, Center for Soft Matter Science and Engineering, and College of Chemistry and Molecular Engineering, Peking University, Beijing, 100871, China
| | - Zhihao Shen
- Beijing National Laboratory for Molecular Sciences Key Laboratory of Polymer Chemistry and Physics of Ministry of Education, Center for Soft Matter Science and Engineering, and College of Chemistry and Molecular Engineering, Peking University, Beijing, 100871, China
| | - Xing-He Fan
- Beijing National Laboratory for Molecular Sciences Key Laboratory of Polymer Chemistry and Physics of Ministry of Education, Center for Soft Matter Science and Engineering, and College of Chemistry and Molecular Engineering, Peking University, Beijing, 100871, China
| |
Collapse
|
13
|
Weller DW, Galuska L, Wang W, Ehlenburg D, Hong K, Gu X. Roll-to-Roll Scalable Production of Ordered Microdomains through Nonvolatile Additive Solvent Annealing of Block Copolymers. Macromolecules 2019. [DOI: 10.1021/acs.macromol.9b00772] [Citation(s) in RCA: 10] [Impact Index Per Article: 2.0] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 12/14/2022]
Affiliation(s)
- Daniel W. Weller
- School of Polymer Science and Engineering, The University of Southern Mississippi, Hattiesburg, Mississippi 39406, United States
| | - Luke Galuska
- School of Polymer Science and Engineering, The University of Southern Mississippi, Hattiesburg, Mississippi 39406, United States
| | - Weiyu Wang
- Chemical Sciences Divisions and Center for Nanophase Material Sciences (CNMS), Oak Ridge National Laboratory, Oak Ridge, Tennessee 37831, United States
| | - Dakota Ehlenburg
- School of Polymer Science and Engineering, The University of Southern Mississippi, Hattiesburg, Mississippi 39406, United States
| | - Kunlun Hong
- Department of Chemical and Biomolecular Engineering, University of Tennessee, Knoxville, Tennessee 37996, United States
| | - Xiaodan Gu
- School of Polymer Science and Engineering, The University of Southern Mississippi, Hattiesburg, Mississippi 39406, United States
| |
Collapse
|
14
|
Stel B, Gunkel I, Gu X, Russell TP, De Yoreo JJ, Lingenfelder M. Contrasting Chemistry of Block Copolymer Films Controls the Dynamics of Protein Self-Assembly at the Nanoscale. ACS NANO 2019; 13:4018-4027. [PMID: 30917283 DOI: 10.1021/acsnano.8b08013] [Citation(s) in RCA: 8] [Impact Index Per Article: 1.6] [Reference Citation Analysis] [Abstract] [Key Words] [MESH Headings] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/09/2023]
Abstract
Biological systems are able to control the assembly and positioning of proteins with nanoscale precision, as exemplified by the intricate molecular structures within cell membranes, virus capsids, and collagen matrices. Controlling the assembly of biomolecules is critical for the use of biomaterials in artificial systems such as antibacterial coatings, engineered tissue samples, and implanted medical devices. Furthermore, understanding the dynamics of protein assembly on heterogeneous templates will ultimately enable the control of protein crystallization in general. Here, we show a biomimetic, hierarchical bottom-up approach to direct the self-assembly of crystalline S-layers through nonspecific interactions with nanostructured block copolymer (BCP) thin-film templates. A comparison between physically and chemically patterned BCP substrates shows that chemical heterogeneity is required to confine the adhesion and self-assembly of S-layers to specific BCP domains. Furthermore, we show that this mechanism can be extended to direct the formation of collagen fibers along the principal direction of the underlying BCP substrate. The dynamics of protein self-assembly at the solid-liquid interface are followed using in situ high-resolution atomic force microscopy under continuous flow conditions, allowing the determination of the rate constants of the self-assembly. A pattern of alternating, chemically distinct nanoscale domains drastically increases the rate of self-assembly compared to non-patterned chemically homogeneous substrates.
Collapse
Affiliation(s)
- Bart Stel
- Max Planck-EPFL Lab for Molecular Nanoscience and Technology and Institute of Physics, EPFL , CH-1015 Lausanne , Switzerland
| | - Ilja Gunkel
- Polymer Science and Engineering Department , University of Massachusetts at Amherst , Amherst , Massachusetts 01003 , United States
| | - Xiaodan Gu
- Polymer Science and Engineering Department , University of Massachusetts at Amherst , Amherst , Massachusetts 01003 , United States
| | - Thomas P Russell
- Polymer Science and Engineering Department , University of Massachusetts at Amherst , Amherst , Massachusetts 01003 , United States
| | | | - Magalí Lingenfelder
- Max Planck-EPFL Lab for Molecular Nanoscience and Technology and Institute of Physics, EPFL , CH-1015 Lausanne , Switzerland
| |
Collapse
|
15
|
Lee S, Cheng LC, Yager KG, Mumtaz M, Aissou K, Ross CA. In Situ Study of ABC Triblock Terpolymer Self-Assembly under Solvent Vapor Annealing. Macromolecules 2019. [DOI: 10.1021/acs.macromol.8b02273] [Citation(s) in RCA: 16] [Impact Index Per Article: 3.2] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 12/16/2022]
Affiliation(s)
- Sangho Lee
- Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts 02139, United States
| | - Li-Chen Cheng
- Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts 02139, United States
| | - Kevin G. Yager
- Center for Functional Nanomaterials, Brookhaven National Laboratory, Upton, New York 11973, United States
| | - Muhammad Mumtaz
- Laboratoire de Chimie des Polymères Organiques (LCPO), CNRS − ENSCPB, Université de Bordeaux, Bordeaux, Pessac, France
| | - Karim Aissou
- Laboratoire de Chimie des Polymères Organiques (LCPO), CNRS − ENSCPB, Université de Bordeaux, Bordeaux, Pessac, France
| | - Caroline A. Ross
- Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts 02139, United States
| |
Collapse
|
16
|
Okabayashi R, Ohta Y, Yokozawa T. Synthesis of Block Copolymers of Polyester and Polystyrene by Means of Cross-Metathesis of Cyclic Unsaturated Polyester and Atom Transfer Radical Polymerization. Macromolecules 2019. [DOI: 10.1021/acs.macromol.8b02147] [Citation(s) in RCA: 6] [Impact Index Per Article: 1.2] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/28/2022]
Affiliation(s)
- Ryouichi Okabayashi
- Department of Materials and Life Chemistry, Kanagawa University, Rokkakubashi, Kanagawa-ku, Yokohama 221-8686, Japan
| | - Yoshihiro Ohta
- Department of Materials and Life Chemistry, Kanagawa University, Rokkakubashi, Kanagawa-ku, Yokohama 221-8686, Japan
| | - Tsutomu Yokozawa
- Department of Materials and Life Chemistry, Kanagawa University, Rokkakubashi, Kanagawa-ku, Yokohama 221-8686, Japan
| |
Collapse
|
17
|
Choi J, Koo S, Sakellari I, Kim H, Su Z, Carter KR, Farsari M, Grigoropoulos CP, Russell TP. Guided Assembly of Block Copolymers in Three-Dimensional Woodpile Scaffolds. ACS APPLIED MATERIALS & INTERFACES 2018; 10:42933-42940. [PMID: 30444346 DOI: 10.1021/acsami.8b17172] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.2] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/09/2023]
Abstract
Three-dimensional (3D) nanofabrication using the directed self-assembly of block copolymers (BCPs) holds great promise for the nanoscale device fabrication and integration into 3D architectures over large areas with high element densities. In this work, a robust platform is developed for building 3D BCP architectures with tailored functionality using 3D micron-scale woodpile structures (WPSs), fabricated by a multiphoton polymerization technique. By completely filling the spaces of the WPSs and using the interactions of the blocks of the BCPs with the struts of the WPS, well-developed 3D nanoscopic morphologies are produced. Metal ion complexation with one block of the copolymer affords a convenient stain to highlight one of the microdomains of the copolymer for electron microscopy studies but also, with the reduction of the complexing salt to the corresponding metal, a simple strategy is shown to produce 3D constructs with nanoscopic domain resolution.
Collapse
Affiliation(s)
- Jaewon Choi
- Polymer Science and Engineering Department , University of Massachusetts Amherst , 120 Governors Drive , Amherst , Massachusetts 01003 , United States
| | - Sangmo Koo
- Laser Thermal Lab, Department of Mechanical Engineering , University of California Berkeley , Berkeley , California 94720 , United States
- Department of Mechanical Engineering , Incheon National University , 119 Academy-ro , Yeonsu-gu, Incheon 22012 , Republic of Korea
| | - Ioanna Sakellari
- Institute of Electronic Structure and Laser (IESL) , Foundation of Research and Technology, Hellas (FORTH) , Nikolaou Plastira 100 , Heraklion 70013 , Crete , Greece
| | - Hyeyoung Kim
- Polymer Science and Engineering Department , University of Massachusetts Amherst , 120 Governors Drive , Amherst , Massachusetts 01003 , United States
| | - Zhengliang Su
- Laser Thermal Lab, Department of Mechanical Engineering , University of California Berkeley , Berkeley , California 94720 , United States
| | - Kenneth R Carter
- Polymer Science and Engineering Department , University of Massachusetts Amherst , 120 Governors Drive , Amherst , Massachusetts 01003 , United States
| | - Maria Farsari
- Institute of Electronic Structure and Laser (IESL) , Foundation of Research and Technology, Hellas (FORTH) , Nikolaou Plastira 100 , Heraklion 70013 , Crete , Greece
| | - Costas P Grigoropoulos
- Laser Thermal Lab, Department of Mechanical Engineering , University of California Berkeley , Berkeley , California 94720 , United States
| | - Thomas P Russell
- Polymer Science and Engineering Department , University of Massachusetts Amherst , 120 Governors Drive , Amherst , Massachusetts 01003 , United States
- Materials Sciences Division , Lawrence Berkeley National Laboratory , 1 Cyclotron Road , Berkeley , California 94720 , United States
- Beijing Advanced Innovation Center for Soft Matter Science and Engineering , Beijing University of Chemical Technology , Haoyang District North Third Ring Road 15 , Beijing 100029 , P. R. China
| |
Collapse
|
18
|
Hulkkonen H, Sah A, Niemi T. All-Metal Broadband Optical Absorbers Based on Block Copolymer Nanolithography. ACS APPLIED MATERIALS & INTERFACES 2018; 10:42941-42947. [PMID: 30421602 DOI: 10.1021/acsami.8b17294] [Citation(s) in RCA: 4] [Impact Index Per Article: 0.7] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/09/2023]
Abstract
The growing interest in solar energy during recent years has spurred on the development of high-efficiency optical absorbers using emerging concepts in plasmonics and metamaterials. Most absorber designs require patterning on a subwavelength scale, making large-scale fabrication expensive or impractical. This study presents an all-metal metasurface with tightly packed, sub-80 nm nanodomes fabricated by template-stripping thin gold films from reusable silicon templates. Subwavelength patterning was achieved via molecular self-assembly of block copolymers, which enables large-area, periodic patterning with nanometer precision. The proposed nanodome surface acts as an optical absorber capable of absorbing 97% of incident light in the visible range 320-650 nm, and still more than 90% at high incidence angles. We demonstrate both experimentally and theoretically that the absorption behavior of the thin film can be controlled by changing the size of the nanodomes, namely, the gap between the structures. The enhanced absorption of light is attributed to localized particle plasmon and gap plasmon resonances. This research provides a straightforward and cost-effective strategy to design and fabricate thin, large-area, light-absorbing coatings that can be transferred onto nearly any rigid or flexible substrate. The all-metal metasurfaces are a promising candidate for plasmon-induced hot electron generation for efficient solar energy conversion in photovoltaic and photocatalytic devices.
Collapse
Affiliation(s)
- Hanna Hulkkonen
- Laboratory of Photonics , Tampere University of Technology , P. O. Box 692, 33101 Tampere , Finland
| | - Aashish Sah
- Laboratory of Photonics , Tampere University of Technology , P. O. Box 692, 33101 Tampere , Finland
| | - Tapio Niemi
- Laboratory of Photonics , Tampere University of Technology , P. O. Box 692, 33101 Tampere , Finland
| |
Collapse
|
19
|
Kulsreshath M, Vital A, Lefaucheux P, Sinturel C, Tillocher T, Vayer M, Boufnichel M, Dussart R. High aspect ratio etched sub-micron structures in silicon obtained by cryogenic plasma deep-etching through perforated polymer thin films. MICRO AND NANO ENGINEERING 2018. [DOI: 10.1016/j.mne.2018.10.007] [Citation(s) in RCA: 9] [Impact Index Per Article: 1.5] [Reference Citation Analysis] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 11/30/2022]
|
20
|
Dallorto S, Staaks D, Schwartzberg A, Yang X, Lee KY, Rangelow IW, Cabrini S, Olynick DL. Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features. NANOTECHNOLOGY 2018; 29:405302. [PMID: 30010091 DOI: 10.1088/1361-6528/aad393] [Citation(s) in RCA: 4] [Impact Index Per Article: 0.7] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 05/20/2023]
Abstract
The next generation of hard disk drive technology for data storage densities beyond 5 Tb/in2 will require single-bit patterning of features with sub-10 nm dimensions by nanoimprint lithography. To address this challenge master templates are fabricated using pattern multiplication with atomic layer deposition (ALD). Sub-10 nm lithography requires a solid understanding of materials and their interactions. In this work we study two important oxide materials, silicon dioxide and titanium dioxide, as the pattern spacer and look at their interactions with carbon, chromium and silicon dioxide. We found that thermal titanium dioxide ALD allows for the conformal deposition of a spacer layer without damaging the carbon mandrel and eliminates the surface modification due to the reactivity of the metal-organic precursor. Finally, using self-assembled block copolymer lithography and thermal titanium dioxide spacer fabrication, we demonstrate pattern doubling with 7.5 nm half-pitch spacer features.
Collapse
Affiliation(s)
- Stefano Dallorto
- Molecular Foundry, Lawrence Berkeley National Laboratory, Berkeley, CA 94720, United States of America. Ilmenau University of Technology, Department of Micro-and Nanoel. Syst., D-98684, Germany. Oxford Instruments, 300 Baker Avenue, Suite 150, Concord, MA 01742, United States of America
| | | | | | | | | | | | | | | |
Collapse
|
21
|
Park K, Kim K, Lee SC, Lim G, Kim JH. Fabrication of Polymer Microstructures of Various Angles via Synchrotron X-Ray Lithography Using Simple Dimensional Transformation. MATERIALS 2018; 11:ma11081460. [PMID: 30126129 PMCID: PMC6119901 DOI: 10.3390/ma11081460] [Citation(s) in RCA: 7] [Impact Index Per Article: 1.2] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Download PDF] [Figures] [Subscribe] [Scholar Register] [Received: 07/07/2018] [Revised: 08/06/2018] [Accepted: 08/15/2018] [Indexed: 11/16/2022]
Abstract
In this paper, we developed a method of fabricating polymer microstructures at various angles on a single substrate via synchrotron X-ray lithography coupled with simple dimensional transformations. Earlier efforts to create various three-dimensional (3D) features on flat substrates focused on the exposure technology, material properties, and light sources. A few research groups have sought to create microstructures on curved substrates. We created tilted microstructures of various angles by simply deforming the substrate from 3D to two-dimensional (2D). The microstructural inclination angles changed depending on the angles of the support at particular positions. We used convex, concave, and S-shaped supports to fabricate microstructures with high aspect ratios (1:11) and high inclination angles (to 79°). The method is simple and can be extended to various 3D microstructural applications; for example, the fabrication of microarrays for optical components, and tilted micro/nanochannels for biological applications.
Collapse
Affiliation(s)
- Kyungjin Park
- School of Interdisciplinary Bioscience and Bioengineering, Pohang University of Science and Technology (POSTECH), 77 Cheongam-ro, Nam-gu, 37673 Pohang, Korea.
| | - Kanghyun Kim
- Department of Mechanical Engineering, Pohang University of Science and Technology (POSTECH), 77 Cheongam-ro, Nam-gu, 37673 Pohang, Korea.
| | - Seung Chul Lee
- Pohang Accelerator Laboratory (PAL), Pohang University of Science and Technology (POSTECH), 77 Cheongam-ro, Nam-gu, 37673 Pohang, Korea.
| | - Geunbae Lim
- School of Interdisciplinary Bioscience and Bioengineering, Pohang University of Science and Technology (POSTECH), 77 Cheongam-ro, Nam-gu, 37673 Pohang, Korea.
- Department of Mechanical Engineering, Pohang University of Science and Technology (POSTECH), 77 Cheongam-ro, Nam-gu, 37673 Pohang, Korea.
| | - Jong Hyun Kim
- Department of Mechanical Engineering, Pohang University of Science and Technology (POSTECH), 77 Cheongam-ro, Nam-gu, 37673 Pohang, Korea.
- Pohang Accelerator Laboratory (PAL), Pohang University of Science and Technology (POSTECH), 77 Cheongam-ro, Nam-gu, 37673 Pohang, Korea.
| |
Collapse
|
22
|
Guliyeva A, Vayer M, Warmont F, Faugère AM, Andreazza P, Takano A, Matsushita Y, Sinturel C. Thin Films with Perpendicular Tetragonally Packed Rectangular Rods Obtained from Blends of Linear ABC Block Terpolymers. ACS Macro Lett 2018; 7:789-794. [PMID: 35650769 DOI: 10.1021/acsmacrolett.8b00272] [Citation(s) in RCA: 14] [Impact Index Per Article: 2.3] [Reference Citation Analysis] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 01/14/2023]
Abstract
A binary blend of poly(isoprene-block-styrene-block-(2-vinylpyridine)) (ISP) triblock terpolymers, having the same chain length but different compositions, was used to achieve an ordered lattice with 4-fold symmetry of rectangular-shaped rods of poly(isoprene) (I) and poly(2-vinylpyridine) (P). In given conditions, the I and P domains were oriented perpendicularly to the substrate, providing an appealing type of templates for nanopatterning. Thin films were prepared by spin coating, exposed to solvent vapor (providing morphological reorganization), and then characterized by atomic force microscopy, transmission electron microscopy, and grazing-incidence small-angle X-ray scattering. Selective I and P identifications were carried out by AFM and TEM on a model ISP, as well as development of a technique of electronic contrast enhancement to better assign the self-assembly structure in GISAXS.
Collapse
Affiliation(s)
- Aynur Guliyeva
- Interfaces, Confinement, Matériaux et Nanostructures (ICMN) UMR 7374, CNRS-Université d’Orléans, CS 40059, F-45071 Orléans, France
| | - Marylène Vayer
- Interfaces, Confinement, Matériaux et Nanostructures (ICMN) UMR 7374, CNRS-Université d’Orléans, CS 40059, F-45071 Orléans, France
| | - Fabienne Warmont
- Interfaces, Confinement, Matériaux et Nanostructures (ICMN) UMR 7374, CNRS-Université d’Orléans, CS 40059, F-45071 Orléans, France
| | - Anne Marie Faugère
- Interfaces, Confinement, Matériaux et Nanostructures (ICMN) UMR 7374, CNRS-Université d’Orléans, CS 40059, F-45071 Orléans, France
| | - Pascal Andreazza
- Interfaces, Confinement, Matériaux et Nanostructures (ICMN) UMR 7374, CNRS-Université d’Orléans, CS 40059, F-45071 Orléans, France
| | - Atsushi Takano
- Laboratory of Physical Chemistry of Polymers, Department of Molecular & Macromolecular Chemistry, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603, Japan
| | - Yushu Matsushita
- Laboratory of Physical Chemistry of Polymers, Department of Molecular & Macromolecular Chemistry, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603, Japan
| | - Christophe Sinturel
- Interfaces, Confinement, Matériaux et Nanostructures (ICMN) UMR 7374, CNRS-Université d’Orléans, CS 40059, F-45071 Orléans, France
| |
Collapse
|
23
|
Abstract
Bioinspired smart asymmetric nanochannel membranes (BSANM) have been explored extensively to achieve the delicate ionic transport functions comparable to those of living organisms. The abiotic system exhibits superior stability and robustness, allowing for promising applications in many fields. In view of the abundance of research concerning BSANM in the past decade, herein, we present a systematic overview of the development of the state-of-the-art BSANM system. The discussion is focused on the construction methodologies based on raw materials with diverse dimensions (i.e. 0D, 1D, 2D, and bulk). A generic strategy for the design and construction of the BSANM system is proposed first and put into context with recent developments from homogeneous to heterogeneous nanochannel membranes. Then, the basic properties of the BSANM are introduced including selectivity, gating, and rectification, which are associated with the particular chemical and physical structures. Moreover, we summarized the practical applications of BSANM in energy conversion, biochemical sensing and other areas. In the end, some personal opinions on the future development of the BSANM are briefly illustrated. This review covers most of the related literature reported since 2010 and is intended to build up a broad and deep knowledge base that can provide a solid information source for the scientific community.
Collapse
Affiliation(s)
- Zhen Zhang
- Beijing National Laboratory for Molecular Sciences (BNLMS), Key Laboratory of Green Printing, Institute of Chemistry, Chinese Academy of Sciences, Beijing 100190, P. R. China
| | | | | |
Collapse
|
24
|
Dialameh M, Lupi FF, Imbraguglio D, Zanenga F, Lamperti A, Martella D, Seguini G, Perego M, Rossi AM, De Leo N, Boarino L. Influence of block copolymer feature size on reactive ion etching pattern transfer into silicon. NANOTECHNOLOGY 2017; 28:404001. [PMID: 28729521 DOI: 10.1088/1361-6528/aa8144] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.1] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/07/2023]
Abstract
A successful realisation of sub-20 nm features on silicon (Si) is becoming the focus of many technological studies, strongly influencing the future performance of modern integrated circuits. Although reactive ion etching (RIE), at both micrometric and nanometric scale has already been the target of many studies, a better understanding of the different mechanisms involved at sub-20 nm size etching is still required. In this work, we investigated the influence of the feature size on the etch rate of Si, performed by a cryogenic RIE process through cylinder-forming polystyrene-block-polymethylmethacrylate (PS-b-PMMA) diblock copolymer (DBC) masks with diameter ranging between 19-13 nm. A sensible decrease of the etch depth and etch rate was observed in the mask with the smallest feature size. For all the DBCs under investigation, we determined the process window useful for the correct transfer of the nanometric cylindrical pattern into a Si substrate. A structural and physicochemical investigation of the resulting nanostructured Si is reported in order to delineate the influence of various RIE pattern effects. Feature-size-dependent etch, or RIE-lag, is proved to significantly affect the obtained results.
Collapse
Affiliation(s)
- M Dialameh
- Istituto Nazionale di Ricerca Metrologia (INRIM), Strada delle Cacce 91, 10135 Turin, Italy. Politecnico di Torino, Corso Duca degli Abruzzi, 24, 10129, Turin, Italy
| | | | | | | | | | | | | | | | | | | | | |
Collapse
|
25
|
Vanderlaan ME, Hillmyer MA. “Uncontrolled” Preparation of Disperse Poly(lactide)-block-poly(styrene)-block-poly(lactide) for Nanopatterning Applications. Macromolecules 2016. [DOI: 10.1021/acs.macromol.6b02014] [Citation(s) in RCA: 12] [Impact Index Per Article: 1.5] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 01/28/2023]
Affiliation(s)
- Marie E. Vanderlaan
- Department
of Chemistry, University of Minnesota, Minneapolis, Minnesota 55455-0431, United States
| | - Marc A. Hillmyer
- Department
of Chemistry, University of Minnesota, Minneapolis, Minnesota 55455-0431, United States
| |
Collapse
|
26
|
Jeon HJ, Kim JY, Jung WB, Jeong HS, Kim YH, Shin DO, Jeong SJ, Shin J, Kim SO, Jung HT. Complex High-Aspect-Ratio Metal Nanostructures by Secondary Sputtering Combined with Block Copolymer Self-Assembly. ADVANCED MATERIALS (DEERFIELD BEACH, FLA.) 2016; 28:8439-8445. [PMID: 27488974 DOI: 10.1002/adma.201602523] [Citation(s) in RCA: 15] [Impact Index Per Article: 1.9] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 05/12/2016] [Revised: 06/20/2016] [Indexed: 06/06/2023]
Abstract
High-resolution (10 nm), high-areal density, high-aspect ratio (>5), and morphologically complex nanopatterns are fabricated from a single conventional block copolymer (BCP) structure with a 70 nm scale resolution and an aspect ratio of 1, through the secondary-sputtering phenomenon during the Ar-ion-bombardment process. This approach provides a foundation for the design of new routes to BCP lithography.
Collapse
Affiliation(s)
- Hwan-Jin Jeon
- National Research Laboratory for Organic Opto-Electronic Materials, Department of Chemical and Biomolecular Engineering (BK-21 plus), Korea Advanced Institute of Science and Technology (KAIST), Daejeon, 305-701, Republic of Korea.
- Department of Nano-structured Materials Research, Korea National Nanofab Center, Daejeon, 305-701, Republic of Korea.
| | - Ju Young Kim
- National Creative Research Initiative Center for Multi-Dimensional Directed Nanoscale Assembly, Department of Materials Science & Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejeon, 305-701, Republic of Korea
- Power Control Device Research Section, Electronics and Telecommunications Research Institute (ETRI), Daejeon, 305-700, Republic of Korea
| | - Woo-Bin Jung
- National Research Laboratory for Organic Opto-Electronic Materials, Department of Chemical and Biomolecular Engineering (BK-21 plus), Korea Advanced Institute of Science and Technology (KAIST), Daejeon, 305-701, Republic of Korea
| | - Hyeon-Su Jeong
- Soft Innovative Materials Research Center, Korea Institute of Science and Technology (KIST), Wanju-gun, Jeollabuk-do, 565-905, Republic of Korea
| | - Yun Ho Kim
- Advanced Functional Materials Research Group, Korea Research Institute of Chemical Technology (KRICT), Daejeon, 305-600, Republic of Korea
| | - Dong Ok Shin
- Power Control Device Research Section, Electronics and Telecommunications Research Institute (ETRI), Daejeon, 305-700, Republic of Korea
| | - Seong-Jun Jeong
- National Creative Research Initiative Center for Multi-Dimensional Directed Nanoscale Assembly, Department of Materials Science & Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejeon, 305-701, Republic of Korea
| | - Jonghwa Shin
- Advanced Photonic Materials and Devices Laboratory, Department of National Research Laboratory, Department of Materials Science & Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejeon, 305-701, Republic of Korea.
| | - Sang Ouk Kim
- National Creative Research Initiative Center for Multi-Dimensional Directed Nanoscale Assembly, Department of Materials Science & Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejeon, 305-701, Republic of Korea.
| | - Hee-Tae Jung
- National Research Laboratory for Organic Opto-Electronic Materials, Department of Chemical and Biomolecular Engineering (BK-21 plus), Korea Advanced Institute of Science and Technology (KAIST), Daejeon, 305-701, Republic of Korea.
| |
Collapse
|
27
|
Choi JW, Li Z, Black CT, Sweat DP, Wang X, Gopalan P. Patterning at the 10 nanometer length scale using a strongly segregating block copolymer thin film and vapor phase infiltration of inorganic precursors. NANOSCALE 2016; 8:11595-601. [PMID: 27216015 DOI: 10.1039/c6nr01409g] [Citation(s) in RCA: 10] [Impact Index Per Article: 1.3] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 05/12/2023]
Abstract
In this work, we demonstrate the use of self-assembled thin films of the cylinder-forming block copolymer poly(4-tert-butylstyrene-block-2-vinylpyridine) to pattern high density features at the 10 nm length scale. This material's large interaction parameter facilitates pattern formation in single-digit nanometer dimensions. This block copolymer's accessible order-disorder transition temperature allows thermal annealing to drive the assembly of ordered 2-vinylpyridine cylinders that can be selectively complexed with the organometallic precursor trimethylaluminum. This unique chemistry converts organic 2-vinylpyridine cylinders into alumina nanowires with diameters ranging from 8 to 11 nm, depending on the copolymer molecular weight. Graphoepitaxy of this block copolymer aligns and registers sub-12 nm diameter nanowires to larger-scale rectangular, curved, and circular features patterned by optical lithography. The alumina nanowires function as a robust hard mask to withstand the conditions required for patterning the underlying silicon by plasma etching. We conclude with a discussion of some of the challenges that arise with using block copolymers for patterning at sub-10 nm feature sizes.
Collapse
Affiliation(s)
- Jonathan W Choi
- Department of Materials Science and Engineering, University of Wisconsin-Madison, Wisconsin 53706, USA.
| | - Zhaodong Li
- Department of Materials Science and Engineering, University of Wisconsin-Madison, Wisconsin 53706, USA.
| | - Charles T Black
- Center for Functional Nanomaterials, Brookhaven National Laboratory, New York 11973, USA
| | - Daniel P Sweat
- Department of Chemistry, University of Wisconsin-Madison, Wisconsin 53706, USA
| | - Xudong Wang
- Department of Materials Science and Engineering, University of Wisconsin-Madison, Wisconsin 53706, USA.
| | - Padma Gopalan
- Department of Materials Science and Engineering, University of Wisconsin-Madison, Wisconsin 53706, USA.
| |
Collapse
|
28
|
Gu X, Gunkel I, Hexemer A, Russell TP. Controlling Domain Spacing and Grain Size in Cylindrical Block Copolymer Thin Films by Means of Thermal and Solvent Vapor Annealing. Macromolecules 2016. [DOI: 10.1021/acs.macromol.6b00429] [Citation(s) in RCA: 59] [Impact Index Per Article: 7.4] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 01/29/2023]
Affiliation(s)
- Xiaodan Gu
- Polymer
Science and Engineering Department, University of Massachusetts at Amherst, 120 Governors Drive, Amherst, Massachusetts 01003, United States
| | - Ilja Gunkel
- Polymer
Science and Engineering Department, University of Massachusetts at Amherst, 120 Governors Drive, Amherst, Massachusetts 01003, United States
| | | | - Thomas P. Russell
- Polymer
Science and Engineering Department, University of Massachusetts at Amherst, 120 Governors Drive, Amherst, Massachusetts 01003, United States
| |
Collapse
|
29
|
Tavakkoli K. G. A, Nicaise SM, Gadelrab KR, Alexander-Katz A, Ross CA, Berggren KK. Multilayer block copolymer meshes by orthogonal self-assembly. Nat Commun 2016; 7:10518. [PMID: 26796218 PMCID: PMC4736107 DOI: 10.1038/ncomms10518] [Citation(s) in RCA: 65] [Impact Index Per Article: 8.1] [Reference Citation Analysis] [Abstract] [Track Full Text] [Download PDF] [Figures] [Journal Information] [Subscribe] [Scholar Register] [Received: 09/18/2015] [Accepted: 12/18/2015] [Indexed: 11/09/2022] Open
Abstract
Continued scaling-down of lithographic-pattern feature sizes has brought templated self-assembly of block copolymers (BCPs) into the forefront of nanofabrication research. Technologies now exist that facilitate significant control over otherwise unorganized assembly of BCP microdomains to form both long-range and locally complex monolayer patterns. In contrast, the extension of this control into multilayers or 3D structures of BCP microdomains remains limited, despite the possible technological applications in next-generation devices. Here, we develop and analyse an orthogonal self-assembly method in which multiple layers of distinct-molecular-weight BCPs naturally produce nanomesh structures of cylindrical microdomains without requiring layer-by-layer alignment or high-resolution lithographic templating. The mechanisms for orthogonal self-assembly are investigated with both experiment and simulation, and we determine that the control over height and chemical preference of templates are critical process parameters. The method is employed to produce nanomeshes with the shapes of circles and Y-intersections, and is extended to produce three layers of orthogonally oriented cylinders.
Collapse
Affiliation(s)
- Amir Tavakkoli K. G.
- Department of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, 77 Massachusetts Avenue, Cambridge, Massachusetts 02139, USA
| | - Samuel M. Nicaise
- Department of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, 77 Massachusetts Avenue, Cambridge, Massachusetts 02139, USA
| | - Karim R. Gadelrab
- Department of Materials Science and Engineering, Massachusetts Institute of Technology, 77 Massachusetts Avenue, Cambridge, Massachusetts 02139, USA
| | - Alfredo Alexander-Katz
- Department of Materials Science and Engineering, Massachusetts Institute of Technology, 77 Massachusetts Avenue, Cambridge, Massachusetts 02139, USA
| | - Caroline A. Ross
- Department of Materials Science and Engineering, Massachusetts Institute of Technology, 77 Massachusetts Avenue, Cambridge, Massachusetts 02139, USA
| | - Karl K. Berggren
- Department of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, 77 Massachusetts Avenue, Cambridge, Massachusetts 02139, USA
| |
Collapse
|
30
|
Meng L, Gao J, He X, Li J, Wei Y, Yan J. CMOS-Compatible Top-Down Fabrication of Periodic SiO2 Nanostructures using a Single Mask. NANOSCALE RESEARCH LETTERS 2015; 10:1046. [PMID: 26306538 PMCID: PMC4549353 DOI: 10.1186/s11671-015-1046-5] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Figures] [Subscribe] [Scholar Register] [Received: 05/28/2015] [Accepted: 08/10/2015] [Indexed: 06/04/2023]
Abstract
We propose a CMOS-compatible top-down fabrication technique of highly-ordered and periodic SiO2 nanostructures using a single amorphous silicon (α-Si) mask layer. The α-Si mask pattern is precisely transferred into the underlying SiO2 substrate material with a high fidelity by a novel top-down fabrication. It is the first time for α-Si film used as an etch mask to fabricate SiO2 nanostructures including nanoline, nanotrench, and nanohole arrays. It is observed that the α-Si mask can significantly reduce the pattern edge roughness and achieve highly uniform and smooth sidewalls. This behavior may be attributed to the presence of high concentration of dangling bonds in α-Si mask surface. By controlling the process condition, it is possible to achieve a desired vertical etched profile with a controlled size. Our results demonstrate that SiO2 pattern as small as sub-20 nm may be achievable. The obtained SiO2 pattern can be further used as a nanotemplate to produce periodic or more complex silicon nanostructures. Moreover, this novel top-down approach is a potentially universal method that is fully compatible with the currently existing Si-based CMOS technologies. It offers a greater flexibility for the fabrication of various nanoscale devices in a simple and efficient way.
Collapse
Affiliation(s)
- Lingkuan Meng
- Institute of Microelectronics, Chinese Academy of Sciences, Beijing, 100029 People’s Republic of China
| | - Jianfeng Gao
- Institute of Microelectronics, Chinese Academy of Sciences, Beijing, 100029 People’s Republic of China
| | - Xiaobin He
- Institute of Microelectronics, Chinese Academy of Sciences, Beijing, 100029 People’s Republic of China
| | - Junjie Li
- Institute of Microelectronics, Chinese Academy of Sciences, Beijing, 100029 People’s Republic of China
| | - Yayi Wei
- Institute of Microelectronics, Chinese Academy of Sciences, Beijing, 100029 People’s Republic of China
| | - Jiang Yan
- Institute of Microelectronics, Chinese Academy of Sciences, Beijing, 100029 People’s Republic of China
| |
Collapse
|
31
|
Yoo HG, Byun M, Jeong CK, Lee KJ. Performance Enhancement of Electronic and Energy Devices via Block Copolymer Self-Assembly. ADVANCED MATERIALS (DEERFIELD BEACH, FLA.) 2015; 27:3982-98. [PMID: 26061137 DOI: 10.1002/adma.201501592] [Citation(s) in RCA: 34] [Impact Index Per Article: 3.8] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 04/03/2015] [Revised: 05/04/2015] [Indexed: 05/23/2023]
Abstract
The use of self-assembled block copolymers (BCPs) for the fabrication of electronic and energy devices has received a tremendous amount of attention as a non-traditional approach to patterning integrated circuit elements at nanometer dimensions and densities inaccessible to traditional lithography techniques. The exquisite control over the dimensional features of the self-assembled nanostructures (i.e., shape, size, and periodicity) is one of the most attractive properties of BCP self-assembly. Harmonic spatial arrangement of the self-assembled nanoelements at desired positions on the chip may offer a new strategy for the fabrication of electronic and energy devices. Several recent reports show the great promise in using BCP self-assembly for practical applications of electronic and energy devices, leading to substantial enhancements of the device performance. Recent progress is summarized here, with regard to the performance enhancements of non-volatile memory, electrical sensor, and energy devices enabled by directed BCP self-assembly.
Collapse
Affiliation(s)
- Hyeon Gyun Yoo
- Department of Materials Science and Engineering, Korea Advanced Institute of Science and Technology (KAIST), 291 Daehak-ro, Yuseong-gu, Daejeon, 305-701, Republic of Korea
| | - Myunghwan Byun
- Department of Materials Science and Engineering, Korea Advanced Institute of Science and Technology (KAIST), 291 Daehak-ro, Yuseong-gu, Daejeon, 305-701, Republic of Korea
| | - Chang Kyu Jeong
- Department of Materials Science and Engineering, Korea Advanced Institute of Science and Technology (KAIST), 291 Daehak-ro, Yuseong-gu, Daejeon, 305-701, Republic of Korea
| | - Keon Jae Lee
- Department of Materials Science and Engineering, Korea Advanced Institute of Science and Technology (KAIST), 291 Daehak-ro, Yuseong-gu, Daejeon, 305-701, Republic of Korea
| |
Collapse
|
32
|
Chavis MA, Smilgies DM, Wiesner UB, Ober CK. Widely Tunable Morphologies in Block Copolymer Thin Films Through Solvent Vapor Annealing Using Mixtures of Selective Solvents. ADVANCED FUNCTIONAL MATERIALS 2015; 25:3057-3065. [PMID: 26819574 PMCID: PMC4724432 DOI: 10.1002/adfm.201404053] [Citation(s) in RCA: 47] [Impact Index Per Article: 5.2] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 05/21/2023]
Abstract
Thin films of block copolymers are extremely attractive for nanofabrication because of their ability to form uniform and periodic nanoscale structures by microphase separation. One shortcoming of this approach is that to date the design of a desired equilibrium structure requires synthesis of a block copolymer de novo within the corresponding volume ratio of the blocks. In this work, we investigated solvent vapor annealing in supported thin films of poly(2-hydroxyethyl methacrylate)-block-poly(methyl methacrylate) [PHEMA-b-PMMA] by means of grazing incidence small angle X-ray scattering (GISAXS). A spin-coated thin film of lamellar block copolymer was solvent vapor annealed to induce microphase separation and improve the long-range order of the self-assembled pattern. Annealing in a mixture of solvent vapors using a controlled volume ratio of solvents (methanol, MeOH, and tetrahydrofuran, THF), which are chosen to be preferential for each block, enabled selective formation of ordered lamellae, gyroid, hexagonal or spherical morphologies from a single block copolymer with a fixed volume fraction. The selected microstructure was then kinetically trapped in the dry film by rapid drying. To our knowledge, this paper describes the first reported case where in-situ methods are used to study the transition of block copolymer films from one initial disordered morphology to four different ordered morphologies, covering much of the theoretical diblock copolymer phase diagram.
Collapse
Affiliation(s)
- Michelle A. Chavis
- Department Of Materials Science and Engineering 214 Bard Hall, Cornell University, Ithaca, NY 14853 USA
| | - Detlef-M. Smilgies
- Cornell High Energy Synchrotron Source 161 Synchrotron Drive, Cornell University, Ithaca, NY 14853 USA
| | - Ulrich B. Wiesner
- Department Of Materials Science and Engineering 214 Bard Hall, Cornell University, Ithaca, NY 14853 USA
| | - Christopher K. Ober
- Department Of Materials Science and Engineering 214 Bard Hall, Cornell University, Ithaca, NY 14853 USA
| |
Collapse
|
33
|
Cummins C, Gangnaik A, Kelly RA, Borah D, O'Connell J, Petkov N, Georgiev YM, Holmes JD, Morris MA. Aligned silicon nanofins via the directed self-assembly of PS-b-P4VP block copolymer and metal oxide enhanced pattern transfer. NANOSCALE 2015; 7:6712-6721. [PMID: 25798892 DOI: 10.1039/c4nr07679f] [Citation(s) in RCA: 30] [Impact Index Per Article: 3.3] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/04/2023]
Abstract
'Directing' block copolymer (BCP) patterns is a possible option for future semiconductor device patterning, but pattern transfer of BCP masks is somewhat hindered by the inherently low etch contrast between blocks. Here, we demonstrate a 'fab' friendly methodology for forming well-registered and aligned silicon (Si) nanofins following pattern transfer of robust metal oxide nanowire masks through the directed self-assembly (DSA) of BCPs. A cylindrical forming poly(styrene)-block-poly(4-vinyl-pyridine) (PS-b-P4VP) BCP was employed producing 'fingerprint' line patterns over macroscopic areas following solvent vapor annealing treatment. The directed assembly of PS-b-P4VP line patterns was enabled by electron-beam lithographically defined hydrogen silsequioxane (HSQ) gratings. We developed metal oxide nanowire features using PS-b-P4VP structures which facilitated high quality pattern transfer to the underlying Si substrate. This work highlights the precision at which long range ordered ∼10 nm Si nanofin features with 32 nm pitch can be defined using a cylindrical BCP system for nanolithography application. The results show promise for future nanocircuitry fabrication to access sub-16 nm critical dimensions using cylindrical systems as surface interfaces are easier to tailor than lamellar systems. Additionally, the work helps to demonstrate the extension of these methods to a 'high χ' BCP beyond the size limitations of the more well-studied PS-b-poly(methyl methylacrylate) (PS-b-PMMA) system.
Collapse
Affiliation(s)
- Cian Cummins
- Materials Research Group, Department of Chemistry and Tyndall National Institute, University College Cork, Cork, Ireland.
| | | | | | | | | | | | | | | | | |
Collapse
|
34
|
Liu F, Luber EJ, Huck LA, Olsen BC, Buriak JM. Nanoscale plasmonic stamp lithography on silicon. ACS NANO 2015; 9:2184-93. [PMID: 25654172 DOI: 10.1021/acsnano.5b00312] [Citation(s) in RCA: 8] [Impact Index Per Article: 0.9] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 05/06/2023]
Abstract
Nanoscale lithography on silicon is of interest for applications ranging from computer chip design to tissue interfacing. Block copolymer-based self-assembly, also called directed self-assembly (DSA) within the semiconductor industry, can produce a variety of complex nanopatterns on silicon, but these polymeric films typically require transformation into functional materials. Here we demonstrate how gold nanopatterns, produced via block copolymer self-assembly, can be incorporated into an optically transparent flexible PDMS stamp, termed a plasmonic stamp, and used to directly functionalize silicon surfaces on a sub-100 nm scale. We propose that the high intensity electric fields that result from the localized surface plasmons of the gold nanoparticles in the plasmonic stamps upon illumination with low intensity green light, lead to generation of electron-hole pairs in the silicon that drive spatially localized hydrosilylation. This approach demonstrates how localized surface plasmons can be used to enable functionalization of technologically relevant surfaces with nanoscale control.
Collapse
Affiliation(s)
- Fenglin Liu
- Department of Chemistry, University of Alberta , 11227 Saskatchewan Drive, Edmonton, AB T6G 2G2, Canada
| | | | | | | | | |
Collapse
|
35
|
Cummins C, Kelly RA, Gangnaik A, Georgiev YM, Petkov N, Holmes JD, Morris MA. Solvent vapor annealing of block copolymers in confined topographies: commensurability considerations for nanolithography. Macromol Rapid Commun 2015; 36:762-7. [PMID: 25704307 DOI: 10.1002/marc.201400722] [Citation(s) in RCA: 18] [Impact Index Per Article: 2.0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Received: 12/16/2014] [Revised: 01/15/2015] [Indexed: 11/10/2022]
Abstract
The directed self-assembly of block copolymer (BCP) materials in topographically patterned substrates (i.e., graphoepitaxy) is a potential methodology for the continued scaling of nanoelectronic device technologies. In this Communication, an unusual feature size variation in BCP nanodomains under confinement with graphoepitaxially aligned cylinder-forming poly(styrene)-block-poly(4-vinylpyridine) (PS-b-P4VP) BCP is reported. Graphoepitaxy of PS-b-P4VP BCP line patterns (CII ) is accomplished via topo-graphy in hydrogen silsequioxane (HSQ) modified substrates and solvent vapor annealing (SVA). Interestingly, reduced domain sizes in features close to the HSQ guiding features are observed. The feature size reduction is evident after inclusion of alumina into the P4VP domains followed by pattern transfer to the silicon substrate. It is suggested that this nano-domain size perturbation is due to solvent swelling effects during SVA. It is proposed that using a commensurability value close to the solvent vapor annealed periodicity will alleviate this issue leading to uniform nanofins.
Collapse
Affiliation(s)
- Cian Cummins
- Materials Research Group, Department of Chemistry and Tyndall National Institute, University College Cork, Cork, Ireland
| | | | | | | | | | | | | |
Collapse
|
36
|
Wang X, Davis JL, Hinestrosa JP, Mays JW, Kilbey SM. Control of Self-Assembled Structure through Architecturally and Compositionally Complex Block Copolymer Surfactant Mixtures. Macromolecules 2014. [DOI: 10.1021/ma5012667] [Citation(s) in RCA: 21] [Impact Index Per Article: 2.1] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 01/03/2023]
Affiliation(s)
- Xu Wang
- Department
of Chemistry, University of Tennessee, Knoxville, Tennessee 37996, United States
| | - Jesse L. Davis
- Department
of Chemistry, University of Tennessee, Knoxville, Tennessee 37996, United States
| | - Juan Pablo Hinestrosa
- Center
for Nanophase Materials Sciences, Oak Ridge National Laboratory, Oak Ridge, Tennessee 37831, United States
| | - Jimmy W. Mays
- Department
of Chemistry, University of Tennessee, Knoxville, Tennessee 37996, United States
| | - S. Michael Kilbey
- Department
of Chemistry, University of Tennessee, Knoxville, Tennessee 37996, United States
- Department
of Chemical and Biomolecular Engineering, University of Tennessee, Knoxville, Tennessee 37996, United States
| |
Collapse
|
37
|
Jeon HJ, Lee EH, Yoo HW, Kim KH, Jung HT. Fabrication of sub-20 nm nano-gap structures through the elastomeric nano-stamp assisted secondary sputtering phenomenon. NANOSCALE 2014; 6:5953-5959. [PMID: 24770563 DOI: 10.1039/c3nr06346a] [Citation(s) in RCA: 4] [Impact Index Per Article: 0.4] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/03/2023]
Abstract
We describe a highly efficient method for fabricating controllable and reliable sub-20 nm scale nano-gap structures through an elastomeric nano-stamp with an embedded ultra-thin pattern. The stamp consists of ultrahigh resolution (approximately 10 nm) and high aspect ratio (ca. 15) metal nano-structures, which are obtained by secondary sputtering lithography (SSL). The nano-gap structures fabricated in this fashion achieve a high resolution and meet the requirements of minimal cost, high reliability, controllability, reproducibility, and applicability to different materials. Further, we demonstrate that this method enables the fabrication of SERS substrates for detection at the single-molecule level.
Collapse
Affiliation(s)
- Hwan-Jin Jeon
- Department of Chemical and Biomolecular Engineering, Korea Advanced Institute of Science and Technology, Daejeon, 305-701, Korea.
| | | | | | | | | |
Collapse
|
38
|
|
39
|
Cui G, Fujikawa M, Nagano S, Sano M, Takase H, Miyazaki T, Sakurai S, Yamamoto K. Perpendicular oriented cylinders via directional coalescence of spheres embedded in block copolymer films induced by solvent annealing. POLYMER 2014. [DOI: 10.1016/j.polymer.2014.01.060] [Citation(s) in RCA: 5] [Impact Index Per Article: 0.5] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 10/25/2022]
|
40
|
Ghoshal T, Senthamaraikannan R, Shaw MT, Holmes JD, Morris MA. Fabrication of ordered, large scale, horizontally-aligned si nanowire arrays based on an in situ hard mask block copolymer approach. ADVANCED MATERIALS (DEERFIELD BEACH, FLA.) 2014; 26:1207-16. [PMID: 24277486 DOI: 10.1002/adma.201304096] [Citation(s) in RCA: 10] [Impact Index Per Article: 1.0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 08/14/2013] [Revised: 09/17/2013] [Indexed: 05/25/2023]
Abstract
A simple technique is demonstrated to fabricate horizontal, uniform, and hexagonally arranged Sinanowire arrays with controlled orientation and density at spatially well defined locations on a substrate based on an in situ hard-mask pattern-formation approach by microphase-separated block-copolymer thin films. The technique may have significant application in the manufacture of transistor circuitry.
Collapse
Affiliation(s)
- Tandra Ghoshal
- Materials Research Group, Department of Chemistry and Tyndall National Institute, University College Cork, Cork, Ireland 2 Centre for Research on Adaptive Nanostructures and Nanodevices (CRANN), Trinity College Dublin, Dublin, Ireland
| | | | | | | | | |
Collapse
|
41
|
Kim SY, Gwyther J, Manners I, Chaikin PM, Register RA. Metal-containing block copolymer thin films yield wire grid polarizers with high aspect ratio. ADVANCED MATERIALS (DEERFIELD BEACH, FLA.) 2014; 26:791-5. [PMID: 24123385 DOI: 10.1002/adma.201303452] [Citation(s) in RCA: 25] [Impact Index Per Article: 2.5] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 07/24/2013] [Indexed: 05/20/2023]
Abstract
Highly selective etch masks are formed by thin films of a polystyrene-b-poly(ferrocenylisopropylmethylsilane) diblock copolymer, PS-PFiPMS, containing hemicylindrical domains of PFiPMS. These domains, with a period of 35 nm, are readily aligned through mechanical shear. Aligned PS-PFiPMS templates are employed to fabricate high-aspect-ratio nanowire grids from amorphous silicon, which can polarize deep ultraviolet radiation, including 193 nm, at >90% efficiency.
Collapse
Affiliation(s)
- So Youn Kim
- Department of Chemical and Biological Engineering, Princeton University, Princeton, NJ, 08544, USA
| | | | | | | | | |
Collapse
|
42
|
Jeong CK, Jin HM, Ahn JH, Park TJ, Yoo HG, Koo M, Choi YK, Kim SO, Lee KJ. Electrical biomolecule detection using nanopatterned silicon via block copolymer lithography. SMALL (WEINHEIM AN DER BERGSTRASSE, GERMANY) 2014; 10:337-343. [PMID: 23881835 DOI: 10.1002/smll.201301202] [Citation(s) in RCA: 35] [Impact Index Per Article: 3.5] [Reference Citation Analysis] [Abstract] [Key Words] [MESH Headings] [Track Full Text] [Subscribe] [Scholar Register] [Received: 04/19/2013] [Indexed: 06/02/2023]
Abstract
An electrical biosensor exploiting a nanostructured semiconductor is a promising technology for the highly sensitive, label-free detection of biomolecules via a straightforward electronic signal. The facile and scalable production of a nanopatterned electrical silicon biosensor by block copolymer (BCP) nano-lithography is reported. A cost-effective and large-area nanofabrication, based on BCP self-assembly and single-step dry etching, is developed for the hexagonal nanohole patterning of thin silicon films. The resultant nanopatterned electrical channel modified with biotin molecules successfully detects the two proteins, streptavidin and avidin, down to nanoscale molarities (≈1 nm). The nanoscale pattern comparable to the Debye screening length and the large surface area of the three-dimensional silicon nanochannel enable excellent sensitivity and stability. A device simulation confirms that the nanopatterned structure used in this work is effective for biomolecule detection. This approach relying on the scalable self-assembly principle offers a high-throughput manufacturing process for clinical lab-on-a-chip diagnoses and relevant biomolecular studies.
Collapse
Affiliation(s)
- Chang Kyu Jeong
- Department of Materials Science and Engineering, Korea Advanced Institute of Science and Technology (KAIST), 291 Daehak-ro, Yuseong-gu, Daejeon, 305-701, Republic of Korea
| | | | | | | | | | | | | | | | | |
Collapse
|
43
|
Gu X, Gunkel I, Hexemer A, Gu W, Russell TP. An in situ grazing incidence X-ray scattering study of block copolymer thin films during solvent vapor annealing. ADVANCED MATERIALS (DEERFIELD BEACH, FLA.) 2014; 26:273-281. [PMID: 24282077 DOI: 10.1002/adma.201302562] [Citation(s) in RCA: 113] [Impact Index Per Article: 11.3] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 06/04/2013] [Revised: 08/06/2013] [Indexed: 06/02/2023]
Abstract
In situ grazing-incidence small-angle X-ray scattering experiments on thin films of block copolymers during annealing in neutral solvent vapors are reported. By removing the solvent in a controlled manner, the period of the microphase separated morphology is found to increase with increasing block copolymer concentration in a power law manner with an exponent ∼ 2/3. By venting the systems at different rates during the solvent removal process, kinetically arresting the system, the period of the microphase separated morphology in the dried film can be varied.
Collapse
Affiliation(s)
- Xiaodan Gu
- Polymer Science and Engineering Department, University of Massachusetts at Amherst, 120 Governors Drive, Amherst, MA, 01003, USA
| | | | | | | | | |
Collapse
|
44
|
Hong SW, Gu W, Huh J, Sveinbjornsson BR, Jeong G, Grubbs RH, Russell TP. On the self-assembly of brush block copolymers in thin films. ACS NANO 2013; 7:9684-9692. [PMID: 24156297 DOI: 10.1021/nn402639g] [Citation(s) in RCA: 67] [Impact Index Per Article: 6.1] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/02/2023]
Abstract
We describe a simple route to fabricate two dimensionally well-ordered, periodic nanopatterns using the self-assembly of brush block copolymers (brush BCPs). Well-developed lamellar microdomains oriented perpendicular to the substrate are achieved, without modification of the underlying substrates, and structures with feature sizes greater than 200 nm are generated due to the reduced degree of chain entanglements of brush BCPs. A near-perfect linear scaling law was found for the period, L, as a function of backbone degree of polymerization (DP) for two series of brush BCPs. The exponent increases slightly from 0.99 to 1.03 as the side chain molecular weight increases from ∼2.4 to ∼4.5 kg/mol(-1) and saturated with further increase in the side chain molecular weight due to the entropic penalty associated with the packing of the side chains. Porous templates and scaffolds from brush BCP thin films are also obtained by selective etching of one component.
Collapse
Affiliation(s)
- Sung Woo Hong
- Department of Polymer Science and Engineering, University of Massachusetts Amherst , 120 Governors Drive, Amherst, Massachusetts 01003, United States
| | | | | | | | | | | | | |
Collapse
|
45
|
Chourou ST, Sarje A, Li XS, Chan ER, Hexemer A. HipGISAXS: a high-performance computing code for simulating grazing-incidence X-ray scattering data. J Appl Crystallogr 2013. [DOI: 10.1107/s0021889813025843] [Citation(s) in RCA: 53] [Impact Index Per Article: 4.8] [Reference Citation Analysis] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/11/2022] Open
Abstract
This article describes the development of a flexible grazing-incidence small-angle X-ray scattering (GISAXS) simulation code in the framework of the distorted wave Born approximation that effectively utilizes the parallel processing power provided by graphics processors and multicore processors. The code, entitledHigh-Performance GISAXS, computes the GISAXS image for any given superposition of user-defined custom shapes or morphologies in a material and for various grazing-incidence angles and sample orientations. These capabilities permit treatment of a wide range of possible sample structures, including multilayered polymer films and nanoparticles on top of or embedded in a substrate or polymer film layers. In cases where the material displays regions of significant refractive index contrast, an algorithm has been implemented to perform a slicing of the sample and compute the averaged refractive index profile to be used as the reference geometry of the unperturbed system. A number of case studies are presented, which demonstrate good agreement with the experimental data for a variety of polymer and hybrid polymer/nanoparticle composite materials. The parallelized simulation code is well suited for addressing the analysis efforts required by the increasing amounts of GISAXS data being produced by high-speed detectors and ultrafast light sources.
Collapse
|
46
|
Affiliation(s)
- Christopher M. Bates
- Department
of Chemistry, The University of Texas at Austin, Austin, Texas 78712, United States
| | - Michael J. Maher
- Department
of Chemistry, The University of Texas at Austin, Austin, Texas 78712, United States
| | - Dustin W. Janes
- McKetta
Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712, United States
| | - Christopher J. Ellison
- McKetta
Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712, United States
| | - C. Grant Willson
- Department
of Chemistry, The University of Texas at Austin, Austin, Texas 78712, United States
- McKetta
Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712, United States
| |
Collapse
|
47
|
Gu X, Gunkel I, Russell TP. Pattern transfer using block copolymers. PHILOSOPHICAL TRANSACTIONS. SERIES A, MATHEMATICAL, PHYSICAL, AND ENGINEERING SCIENCES 2013; 371:20120306. [PMID: 24000358 DOI: 10.1098/rsta.2012.0306] [Citation(s) in RCA: 29] [Impact Index Per Article: 2.6] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/02/2023]
Abstract
To meet the increasing demand for patterning smaller feature sizes, a lithography technique is required with the ability to pattern sub-20 nm features. While top-down photolithography is approaching its limit in the continued drive to meet Moore's law, the use of directed self-assembly (DSA) of block copolymers (BCPs) offers a promising route to meet this challenge in achieving nanometre feature sizes. Recent developments in BCP lithography and in the DSA of BCPs are reviewed. While tremendous advances have been made in this field, there are still hurdles that need to be overcome to realize the full potential of BCPs and their actual use.
Collapse
Affiliation(s)
- Xiaodan Gu
- Polymer Science and Engineering Department, University of Massachusetts at Amherst, 120 Governors Drive, Amherst, MA 01003, USA
| | | | | |
Collapse
|
48
|
Priimagi A, Shevchenko A. Azopolymer-based micro- and nanopatterning for photonic applications. ACTA ACUST UNITED AC 2013. [DOI: 10.1002/polb.23390] [Citation(s) in RCA: 218] [Impact Index Per Article: 19.8] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 12/25/2022]
Affiliation(s)
- Arri Priimagi
- Department of Applied Physics; Aalto University; Aalto FI-00076 Finland
| | - Andriy Shevchenko
- Department of Applied Physics; Aalto University; Aalto FI-00076 Finland
| |
Collapse
|
49
|
Size and space controlled hexagonal arrays of superparamagnetic iron oxide nanodots: magnetic studies and application. Sci Rep 2013; 3:2772. [PMID: 24072037 PMCID: PMC3784943 DOI: 10.1038/srep02772] [Citation(s) in RCA: 35] [Impact Index Per Article: 3.2] [Reference Citation Analysis] [Abstract] [Track Full Text] [Download PDF] [Figures] [Journal Information] [Subscribe] [Scholar Register] [Received: 05/17/2013] [Accepted: 08/12/2013] [Indexed: 11/26/2022] Open
Abstract
Highly dense hexagonally arranged iron oxide nanodots array were fabricated using PS-b-PEO self-assembled patterns. The copolymer molecular weight, composition and choice of annealing solvent/s allows dimensional and structural control of the nanopatterns at large scale. A mechanism is proposed to create scaffolds through degradation and/or modification of cylindrical domains. A methodology based on selective metal ion inclusion and subsequent processing was used to create iron oxide nanodots array. The nanodots have uniform size and shape and their placement mimics the original self-assembled nanopatterns. For the first time these precisely defined and size selective systems of ordered nanodots allow careful investigation of magnetic properties in dimensions from 50 nm to 10 nm, which delineate the nanodots are superparamagnetic, well-isolated and size monodispersed. This diameter/spacing controlled iron oxide nanodots systems were demonstrated as a resistant mask over silicon to fabricate densely packed, identical ordered, high aspect ratio silicon nanopillars and nanowire features.
Collapse
|
50
|
Singh G, Batra S, Zhang R, Yuan H, Yager KG, Cakmak M, Berry B, Karim A. Large-scale roll-to-roll fabrication of vertically oriented block copolymer thin films. ACS NANO 2013; 7:5291-9. [PMID: 23647480 DOI: 10.1021/nn401094s] [Citation(s) in RCA: 24] [Impact Index Per Article: 2.2] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 05/23/2023]
Abstract
Large-scale roll-to-roll (R2R) fabrication of vertically oriented nanostructures via directed self-assembly of cylindrical block copolymer (c-BCP) thin films is reported. Nearly 100% vertical orientation of cylinders in sub-100 nm c-BCP films under optimized processing via a dynamic sharp temperature gradient field termed Cold Zone Annealing-Sharp or 'CZA-S' is achieved, with successful scale-up on a prototype custom-built 70 ft × 1 ft R2R platform moving at 25 μm/s, with 9 consecutive CZA units. Static thermal annealing of identical films in a conventional vacuum oven fails to produce comparable results. As a potential for applications, we fabricate high-density silicon oxide nanodot arrays from the CZA-S annealed BCP thin film template.
Collapse
Affiliation(s)
- Gurpreet Singh
- Department of Polymer Engineering, The University of Akron, Akron, Ohio 44325, USA
| | | | | | | | | | | | | | | |
Collapse
|