1
|
Park TW, Kang YL, Kang EB, Jung H, Lee S, Hwang G, Lee JW, Choi S, Nahm S, Kwon S, kim KH, Park WI. Direct Printing of Ultrathin Block Copolymer Film with Nano-in-Micro Pattern Structures. ADVANCED SCIENCE (WEINHEIM, BADEN-WURTTEMBERG, GERMANY) 2023; 10:e2303412. [PMID: 37607117 PMCID: PMC10582423 DOI: 10.1002/advs.202303412] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 05/26/2023] [Revised: 07/23/2023] [Indexed: 08/24/2023]
Abstract
Nanotransfer printing (nTP) is one of the most promising nanopatterning methods given that it can be used to produce nano-to-micro patterns effectively with functionalities for electronic device applications. However, the nTP process is hindered by several critical obstacles, such as sub-20 nm mold technology, reliable large-area replication, and uniform transfer-printing of functional materials. Here, for the first time, a dual nanopatterning process is demonstrated that creates periodic sub-20 nm structures on the eight-inch wafer by the transfer-printing of patterned ultra-thin (<50 nm) block copolymer (BCP) film onto desired substrates. This study shows how to transfer self-assembled BCP patterns from the Si mold onto rigid and/or flexible substrates through a nanopatterning method of thermally assisted nTP (T-nTP) and directed self-assembly (DSA) of Si-containing BCPs. In particular, the successful microscale patternization of well-ordered sub-20 nm SiOx patterns is systematically presented by controlling the self-assembly conditions of BCP and printing temperature. In addition, various complex pattern geometries of nano-in-micro structures are displayed over a large patterning area by T-nTP, such as angular line, wave line, ring, dot-in-hole, and dot-in-honeycomb structures. This advanced BCP-replicated nanopatterning technology is expected to be widely applicable to nanofabrication of nano-to-micro electronic devices with complex circuits.
Collapse
Affiliation(s)
- Tae Wan Park
- Department of Materials Science and EngineeringKorea UniversitySeoul02841Republic of Korea
- Department of Materials Science and EngineeringPukyong National University (PKNU)45 Yongso‐ro, Nam‐guBusan48513Republic of Korea
| | - Young Lim Kang
- Department of Materials Science and EngineeringPukyong National University (PKNU)45 Yongso‐ro, Nam‐guBusan48513Republic of Korea
| | - Eun Bin Kang
- Department of Materials Science and EngineeringPukyong National University (PKNU)45 Yongso‐ro, Nam‐guBusan48513Republic of Korea
| | - Hyunsung Jung
- Nano Convergence Materials CenterKorea Institute of Ceramic Engineering & Technology (KICET)Jinju52851Republic of Korea
| | - Seoung‐Ki Lee
- School of Materials Science and EngineeringPusan National University (PNU)Busan46241Republic of Korea
| | - Geon‐Tae Hwang
- Department of Materials Science and EngineeringPukyong National University (PKNU)45 Yongso‐ro, Nam‐guBusan48513Republic of Korea
| | - Jung Woo Lee
- School of Materials Science and EngineeringPusan National University (PNU)Busan46241Republic of Korea
| | - Si‐Young Choi
- Department of Materials Science and EngineeringPohang University of Science and Technology (POSTECH)Pohang37673Republic of Korea
| | - Sahn Nahm
- Department of Materials Science and EngineeringKorea UniversitySeoul02841Republic of Korea
| | - Se‐Hun Kwon
- School of Materials Science and EngineeringPusan National University (PNU)Busan46241Republic of Korea
| | - Kwang Ho kim
- School of Materials Science and EngineeringPusan National University (PNU)Busan46241Republic of Korea
- Global Frontier R&D Center for Hybrid Interface Materials (HIM)Pusan National UniversityBusan46241Republic of Korea
| | - Woon Ik Park
- Department of Materials Science and EngineeringPukyong National University (PKNU)45 Yongso‐ro, Nam‐guBusan48513Republic of Korea
| |
Collapse
|
2
|
Hendeniya N, Hillery K, Chang BS. Processive Pathways to Metastability in Block Copolymer Thin Films. Polymers (Basel) 2023; 15:polym15030498. [PMID: 36771799 PMCID: PMC9920306 DOI: 10.3390/polym15030498] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Figures] [Journal Information] [Subscribe] [Scholar Register] [Received: 12/08/2022] [Revised: 01/02/2023] [Accepted: 01/04/2023] [Indexed: 01/19/2023] Open
Abstract
Block copolymers (BCPs) self-assemble into intricate nanostructures that enhance a multitude of advanced applications in semiconductor processing, membrane science, nanopatterned coatings, nanocomposites, and battery research. Kinetics and thermodynamics of self-assembly are crucial considerations in controlling the nanostructure of BCP thin films. The equilibrium structure is governed by a molecular architecture and the chemistry of its repeat units. An enormous library of materials has been synthesized and they naturally produce a rich equilibrium phase diagram. Non-equilibrium phases could potentially broaden the structural diversity of BCPs and relax the synthetic burden of creating new molecules. Furthermore, the reliance on synthesis could be complicated by the scalability and the materials compatibility. Non-equilibrium phases in BCPs, however, are less explored, likely due to the challenges in stabilizing the metastable structures. Over the past few decades, a variety of processing techniques were introduced that influence the phase transformation of BCPs to achieve a wide range of morphologies. Nonetheless, there is a knowledge gap on how different processive pathways can induce and control the non-equilibrium phases in BCP thin films. In this review, we focus on different solvent-induced and thermally induced processive pathways, and their potential to control the non-equilibrium phases with regards to their unique aspects and advantages. Furthermore, we elucidate the limitations of these pathways and discuss the potential avenues for future investigations.
Collapse
|
3
|
Pula P, Leniart A, Majewski PW. Solvent-assisted self-assembly of block copolymer thin films. SOFT MATTER 2022; 18:4042-4066. [PMID: 35608282 DOI: 10.1039/d2sm00439a] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.5] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/15/2023]
Abstract
Solvent-assisted block copolymer self-assembly is a compelling method for processing and advancing practical applications of these materials due to the exceptional level of the control of BCP morphology and significant acceleration of ordering kinetics. Despite substantial experimental and theoretical efforts devoted to understanding of solvent-assisted BCP film ordering, the development of a universal BCP patterning protocol remains elusive; possibly due to a multitude of factors which dictate the self-assembly scenario. The aim of this review is to aggregate both seminal reports and the latest progress in solvent-assisted directed self-assembly and to provide the reader with theoretical background, including the outline of BCP ordering thermodynamics and kinetics phenomena. We also indicate significant BCP research areas and emerging high-tech applications where solvent-assisted processing might play a dominant role.
Collapse
Affiliation(s)
- Przemyslaw Pula
- Department of Chemistry, University of Warsaw, Warsaw 02089, Poland.
| | - Arkadiusz Leniart
- Department of Chemistry, University of Warsaw, Warsaw 02089, Poland.
| | - Pawel W Majewski
- Department of Chemistry, University of Warsaw, Warsaw 02089, Poland.
| |
Collapse
|
4
|
Gold nanoparticle arrays organized in mixed patterns through directed self-assembly of ultrathin block copolymer films on topographic substrates. POLYMER 2022. [DOI: 10.1016/j.polymer.2022.124727] [Citation(s) in RCA: 2] [Impact Index Per Article: 1.0] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/22/2022]
|
5
|
Kang YH, Lee S, Choi Y, Seong WK, Han KH, Kim JH, Kim HM, Hong S, Lee SH, Ruoff RS, Kim KB, Kim SO. Large-Area Uniform 1-nm-Level Amorphous Carbon Layers from 3D Conformal Polymer Brushes. A "Next-Generation" Cu Diffusion Barrier? ADVANCED MATERIALS (DEERFIELD BEACH, FLA.) 2022; 34:e2110454. [PMID: 35085406 DOI: 10.1002/adma.202110454] [Citation(s) in RCA: 5] [Impact Index Per Article: 2.5] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 12/23/2021] [Revised: 01/17/2022] [Indexed: 06/14/2023]
Abstract
A reliable method for preparing a conformal amorphous carbon (a-C) layer with a thickness of 1-nm-level, is tested as a possible Cu diffusion barrier layer for next-generation ultrahigh-density semiconductor device miniaturization. A polystyrene brush of uniform thickness is grafted onto 4-inch SiO2 /Si wafer substrates with "self-limiting" chemistry favoring such a uniform layer. UV crosslinking and subsequent carbonization transforms this polymer film into an ultrathin a-C layer without pinholes or hillocks. The uniform coating of nonplanar regions or surfaces is also possible. The Cu diffusion "blocking ability" is evaluated by time-dependent dielectric breakdown (TDDB) tests using a metal-oxide-semiconductor (MOS) capacitor structure. A 0.82 nm-thick a-C barrier gives TDDB lifetimes 3.3× longer than that obtained using the conventional 1.0 nm-thick TaNx diffusion barrier. In addition, this exceptionally uniform ultrathin polymer and a-C film layers hold promise for selective ion permeable membranes, electrically and thermally insulating films in electronics, slits of angstrom-scale thickness, and, when appropriately functionalized, as a robust ultrathin coating with many other potential applications.
Collapse
Affiliation(s)
- Yun-Ho Kang
- National Creative Research Initiative Center for Multi-Dimensional Directed Nanoscale Assembly, Department of Materials Science and Engineering, Korea Advance Institute of Science and Technology (KAIST), Daejeon, 34141, Korea
| | - Sangbong Lee
- Research Institute of Advanced Materials, Department of Materials Science and Engineering, Seoul National University, Seoul, 08826, Korea
| | - Youngwoo Choi
- Department of Materials Science and Engineering, Korea Advance Institute of Science and Technology (KAIST), Daejeon, 34141, Korea
| | - Won Kyung Seong
- Center for Multidimensional Carbon Materials (CMCM), Institute for Basic Science (IBS), Ulsan, 44919, Korea
| | - Kyu Hyo Han
- National Creative Research Initiative Center for Multi-Dimensional Directed Nanoscale Assembly, Department of Materials Science and Engineering, Korea Advance Institute of Science and Technology (KAIST), Daejeon, 34141, Korea
| | - Jang Hwan Kim
- National Creative Research Initiative Center for Multi-Dimensional Directed Nanoscale Assembly, Department of Materials Science and Engineering, Korea Advance Institute of Science and Technology (KAIST), Daejeon, 34141, Korea
| | - Hyun-Mi Kim
- Korea Electronics Technology Institute (KETI), Gyeonggi, 13509, Korea
| | - Seungbum Hong
- Department of Materials Science and Engineering, Korea Advance Institute of Science and Technology (KAIST), Daejeon, 34141, Korea
| | - Sun Hwa Lee
- Center for Multidimensional Carbon Materials (CMCM), Institute for Basic Science (IBS), Ulsan, 44919, Korea
| | - Rodney S Ruoff
- Center for Multidimensional Carbon Materials (CMCM), Institute for Basic Science (IBS), Ulsan, 44919, Korea
- Department of Chemistry, Ulsan National Institute of Science and Technology (UNIST), Ulsan, 44919, Korea
- Department of Materials Science and Engineering, Ulsan National Institute of Science and Technology (UNIST), Ulsan, 44919, Korea
- School of Energy and Chemical Engineering, Ulsan National Institute of Science and Technology (UNIST), Ulsan, 44919, Korea
| | - Ki-Bum Kim
- Research Institute of Advanced Materials, Department of Materials Science and Engineering, Seoul National University, Seoul, 08826, Korea
| | - Sang Ouk Kim
- National Creative Research Initiative Center for Multi-Dimensional Directed Nanoscale Assembly, Department of Materials Science and Engineering, Korea Advance Institute of Science and Technology (KAIST), Daejeon, 34141, Korea
| |
Collapse
|
6
|
Hu M, Li X, Rzayev J, Russell TP. Hydrolysis-Induced Self-Assembly of High-χ–Low-N Bottlebrush Copolymers. Macromolecules 2021. [DOI: 10.1021/acs.macromol.1c02061] [Citation(s) in RCA: 4] [Impact Index Per Article: 1.3] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/28/2022]
Affiliation(s)
- Mingqiu Hu
- Polymer Science and Engineering Department, University of Massachusetts Amherst, 120 Governors Drive, Amherst, Massachusetts 01003, United States
| | - Xindi Li
- Department of Chemistry, University at Buffalo, The State University of New York, Buffalo, New York 14260-3000, United States
| | - Javid Rzayev
- Department of Chemistry, University at Buffalo, The State University of New York, Buffalo, New York 14260-3000, United States
| | - Thomas P. Russell
- Polymer Science and Engineering Department, University of Massachusetts Amherst, 120 Governors Drive, Amherst, Massachusetts 01003, United States
- Materials Science Division, Lawrence Berkeley National Laboratory, 1 Cyclotron Road, Berkeley, California 94720, United States
| |
Collapse
|
7
|
Ginige G, Song Y, Olsen BC, Luber EJ, Yavuz CT, Buriak JM. Solvent Vapor Annealing, Defect Analysis, and Optimization of Self-Assembly of Block Copolymers Using Machine Learning Approaches. ACS APPLIED MATERIALS & INTERFACES 2021; 13:28639-28649. [PMID: 34100583 DOI: 10.1021/acsami.1c05056] [Citation(s) in RCA: 5] [Impact Index Per Article: 1.7] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/12/2023]
Abstract
Self-assembly of block copolymers (BCPs) is an alternative patterning technique that promises high resolution and density multiplication with lower costs. The defectivity of the resulting nanopatterns remains too high for many applications in microelectronics and is exacerbated by small variations of processing parameters, such as film thickness, and fluctuations of solvent vapor pressure and temperature, among others. In this work, a solvent vapor annealing (SVA) flow-controlled system is combined with design of experiments (DOE) and machine learning (ML) approaches. The SVA flow-controlled system enables precise optimization of the conditions of self-assembly of the high Flory-Huggins interaction parameter (χ) hexagonal dot-array forming BCP, poly(styrene-b-dimethylsiloxane) (PS-b-PDMS). The defects within the resulting patterns at various length scales are then characterized and quantified. The results show that the defectivity of the resulting nanopatterned surfaces is highly dependent upon very small variations of the initial film thicknesses of the BCP, as well as the degree of swelling under the SVA conditions. These parameters also significantly contribute to the quality of the resulting pattern with respect to grain coarsening, as well as the formation of different macroscale phases (single and double layers and wetting layers). The results of qualitative and quantitative defect analyses are then compiled into a single figure of merit (FOM) and are mapped across the experimental parameter space using ML approaches, which enable the identification of the narrow region of optimum conditions for SVA for a given BCP. The result of these analyses is a faster and less resource intensive route toward the production of low-defectivity BCP dot arrays via rational determination of the ideal combination of processing factors. The DOE and machine learning-enabled approach is generalizable to the scale-up of self-assembly-based nanopatterning for applications in electronic microfabrication.
Collapse
Affiliation(s)
- Gayashani Ginige
- Department of Chemistry, University of Alberta, 11227-Saskatchewan Drive, Edmonton, Alberta T6G 2G2, Canada
| | - Youngdong Song
- Department of Chemical and Biomolecular Engineering, Korea Advanced Institute of Science and Technology (KAIST), 291 Daehak-ro, Yuseong-gu, Daejeon 34141, Republic of Korea
| | - Brian C Olsen
- Department of Chemistry, University of Alberta, 11227-Saskatchewan Drive, Edmonton, Alberta T6G 2G2, Canada
| | - Erik J Luber
- Department of Chemistry, University of Alberta, 11227-Saskatchewan Drive, Edmonton, Alberta T6G 2G2, Canada
| | - Cafer T Yavuz
- Department of Chemical and Biomolecular Engineering, Korea Advanced Institute of Science and Technology (KAIST), 291 Daehak-ro, Yuseong-gu, Daejeon 34141, Republic of Korea
- KAUST Catalysis Center (KCC), Physical Sciences and Engineering (PSE), King Abdullah University of Science and Technology (KAUST), Thuwal 23955-6900, Saudi Arabia
- Advanced Membranes and Porous Materials Center (AMPM), Physical Sciences and Engineering (PSE), King Abdullah University of Science and Technology (KAUST), Thuwal 23955-6900, Saudi Arabia
| | - Jillian M Buriak
- Department of Chemistry, University of Alberta, 11227-Saskatchewan Drive, Edmonton, Alberta T6G 2G2, Canada
| |
Collapse
|
8
|
Ghoshal T, Senthamaraikannan R, Shaw MT, Lundy R, Selkirk A, Morris MA. Fabrication of Graphoepitaxial Gate-All-Around Si Circuitry Patterned Nanowire Arrays Using Block Copolymer Assisted Hard Mask Approach. ACS NANO 2021; 15:9550-9558. [PMID: 34042425 PMCID: PMC8291765 DOI: 10.1021/acsnano.0c09232] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.3] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 11/04/2020] [Accepted: 05/20/2021] [Indexed: 05/25/2023]
Abstract
We demonstrate the fabrication of sub-20 nm gate-all-around silicon (Si) nanowire field effect transistor structures using self-assembly. To create nanopatterned Si feature arrays, a block-copolymer-assisted hard mask approach was utilized using a topographically patterned substrate with well-defined Si3N4 features for graphoepitaxially alignment of the self-assembled patterns. Microphase-separated long-range ordered polystyrene-b-poly(ethylene oxide) (PS-b-PEO) block-copolymer-derived dot and line nanopatterns were achieved by a thermo-solvent approach within the substrate topographically defined channels of various widths and lengths. Solvent annealing parameters (temperature, annealing time, etc.) were varied to achieve the desired patterns. The BCP structures were modified by anhydrous ethanol to facilitate insertion of iron oxide features within the graphoepitaxial trenches that maintained the parent BCP arrangements. Vertical and horizontal ordered Si nanowire structures within trenches were fabricated using the iron oxide features as hard masks in an inductively coupled plasma (ICP) etch process. Cross-sectional micrographs depict wires of persistent width and flat sidewalls indicating the effectiveness of the mask. The aspect ratios could be varied by varying etch times. The sharp boundaries between the transistor components was also examined through the elemental mapping.
Collapse
Affiliation(s)
- Tandra Ghoshal
- School
of Chemistry, AMBER and CRANN, Trinity College
Dublin, Dublin, Ireland D02 AK60
| | | | - Matthew T. Shaw
- Intel
Ireland Ltd., Collinstown Industrial
Park, Leixlip, Co. Kildare, Ireland W23 CX68
| | - Ross Lundy
- School
of Chemistry, AMBER and CRANN, Trinity College
Dublin, Dublin, Ireland D02 AK60
| | - Andrew Selkirk
- School
of Chemistry, AMBER and CRANN, Trinity College
Dublin, Dublin, Ireland D02 AK60
| | - Michael A. Morris
- School
of Chemistry, AMBER and CRANN, Trinity College
Dublin, Dublin, Ireland D02 AK60
| |
Collapse
|
9
|
Matsunaga K, Kukai W, Ishizaki M, Kurihara M, Yamamoto S, Mitsuishi M, Yabu H, Nagano S, Matsui J. Formation of Perpendicularly Aligned Sub-10 nm Nanocylinders in Poly( N-dodecylacrylamide- b-ethylene glycol) Block Copolymer Films by Hierarchical Phase Separation. Macromolecules 2020. [DOI: 10.1021/acs.macromol.0c00838] [Citation(s) in RCA: 2] [Impact Index Per Article: 0.5] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 01/08/2023]
Affiliation(s)
| | | | | | | | | | | | | | - Shusaku Nagano
- Nagoya University Venture Business Laboratory, Nagoya University, Furo-cho, Chikusa, Nagoya 464-8603, Japan
| | | |
Collapse
|
10
|
Shi X, Wang X, Wang Y, Wang Y. Producing Nanoporosities in Block Copolymers within 30 s by Microwave-Boosted Selective Swelling. Macromolecules 2020. [DOI: 10.1021/acs.macromol.0c00650] [Citation(s) in RCA: 9] [Impact Index Per Article: 2.3] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 01/17/2023]
Affiliation(s)
- Xiansong Shi
- State Key Laboratory of Materials-Oriented Chemical Engineering and College of Chemical Engineering, Nanjing Tech University, Nanjing 211816, P. R. China
| | - Xingyuan Wang
- State Key Laboratory of Materials-Oriented Chemical Engineering and College of Chemical Engineering, Nanjing Tech University, Nanjing 211816, P. R. China
| | - Yanjie Wang
- State Key Laboratory of Materials-Oriented Chemical Engineering and College of Chemical Engineering, Nanjing Tech University, Nanjing 211816, P. R. China
| | - Yong Wang
- State Key Laboratory of Materials-Oriented Chemical Engineering and College of Chemical Engineering, Nanjing Tech University, Nanjing 211816, P. R. China
| |
Collapse
|
11
|
Dong L, Odashima R, Seshimo T, Nabae Y, Hayakawa T. Synthesis and Morphology Studies of Polysiloxane-based Triblock Copolymers. J PHOTOPOLYM SCI TEC 2020. [DOI: 10.2494/photopolymer.32.817] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.3] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/09/2022]
Affiliation(s)
- Lei Dong
- Department of Materials Science and Engineering, School of Materials and Chemical Technology, Tokyo Institute of Technology
| | - Rin Odashima
- Department of Materials Science and Engineering, School of Materials and Chemical Technology, Tokyo Institute of Technology
| | - Takehiro Seshimo
- Department of Materials Science and Engineering, School of Materials and Chemical Technology, Tokyo Institute of Technology
| | - Yuta Nabae
- Department of Materials Science and Engineering, School of Materials and Chemical Technology, Tokyo Institute of Technology
| | - Teruaki Hayakawa
- Department of Materials Science and Engineering, School of Materials and Chemical Technology, Tokyo Institute of Technology
| |
Collapse
|
12
|
Gottlieb S, Fernández-Regúlez M, Lorenzoni M, Evangelio L, Perez-Murano F. Grain-Boundary-Induced Alignment of Block Copolymer Thin Films. NANOMATERIALS 2020; 10:nano10010103. [PMID: 31947950 PMCID: PMC7022512 DOI: 10.3390/nano10010103] [Citation(s) in RCA: 3] [Impact Index Per Article: 0.8] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Download PDF] [Figures] [Subscribe] [Scholar Register] [Received: 12/03/2019] [Revised: 12/30/2019] [Accepted: 12/30/2019] [Indexed: 01/01/2023]
Abstract
We present and discuss the capability of grain boundaries to induce order in block copolymer thin films between horizontally and vertically assembled block copolymer grains. The system we use as a proof of principle is a thermally annealed 23.4 nm full-pitch lamellar Polystyrene-block-polymethylmetacrylate (PS-b-PMMA) di-block copolymer. In this paper, grain-boundary-induced alignment is achieved by the mechanical removal of the neutral brush layer via atomic force microscopy (AFM). The concept is also confirmed by a mask-less e-beam direct writing process. An elongated grain of vertically aligned lamellae is trapped between two grains of horizontally aligned lamellae. This configuration leads to the formation of 90° twist grain boundaries. The features maintain their orientation on a characteristic length scale, which is described by the material's correlation length ξ. As a result of an energy minimization process, the block copolymer domains in the vertically aligned grain orient perpendicularly to the grain boundary. The energy-minimizing feature is the grain boundary itself. The width of the manipulated area (e.g., the horizontally aligned grain) does not represent a critical process parameter.
Collapse
|
13
|
Michman E, Langenberg M, Stenger R, Oded M, Schvartzman M, Müller M, Shenhar R. Controlled Spacing between Nanopatterned Regions in Block Copolymer Films Obtained by Utilizing Substrate Topography for Local Film Thickness Differentiation. ACS APPLIED MATERIALS & INTERFACES 2019; 11:35247-35254. [PMID: 31482698 DOI: 10.1021/acsami.9b12817] [Citation(s) in RCA: 8] [Impact Index Per Article: 1.6] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/10/2023]
Abstract
Various types of devices require hierarchically nanopatterned substrates, where the spacing between patterned domains is controlled. Ultraconfined films exhibit extreme morphological sensitivity to slight variations in film thickness when the substrate is highly selective toward one of the blocks. Here, it is shown that using the substrate's topography as a thickness differentiating tool enables the creation of domains with different surface patterns in a fully controlled fashion from a single, unblended block copolymer. This approach is applicable to block copolymers of different compositions and to different topographical patterns and thus opens numerous possibilities for the hierarchical construction of multifunctional devices.
Collapse
Affiliation(s)
- Elisheva Michman
- The Institute of Chemistry and the Center for Nanoscience and Nanotechnology , The Hebrew University of Jerusalem , Jerusalem 9190401 , Israel
| | - Marcel Langenberg
- Institute for Theoretical Physics , Georg-August-University Göttingen , Friedrich-Hund-Platz 1 , 37077 Göttingen , Germany
| | - Roland Stenger
- Institute for Theoretical Physics , Georg-August-University Göttingen , Friedrich-Hund-Platz 1 , 37077 Göttingen , Germany
| | - Meirav Oded
- The Institute of Chemistry and the Center for Nanoscience and Nanotechnology , The Hebrew University of Jerusalem , Jerusalem 9190401 , Israel
| | - Mark Schvartzman
- Department of Materials Engineering and Ilse Katz Institute for Nanoscale Science and Technology , Ben Gurion University of the Negev , Beer Sheva 8410501 , Israel
| | - Marcus Müller
- Institute for Theoretical Physics , Georg-August-University Göttingen , Friedrich-Hund-Platz 1 , 37077 Göttingen , Germany
| | - Roy Shenhar
- The Institute of Chemistry and the Center for Nanoscience and Nanotechnology , The Hebrew University of Jerusalem , Jerusalem 9190401 , Israel
| |
Collapse
|
14
|
Jung H, Shin WH, Park TW, Choi YJ, Yoon YJ, Park SH, Lim JH, Kwon JD, Lee JW, Kwon SH, Seong GH, Kim KH, Park WI. Hierarchical multi-level block copolymer patterns by multiple self-assembly. NANOSCALE 2019; 11:8433-8441. [PMID: 30985848 DOI: 10.1039/c9nr00774a] [Citation(s) in RCA: 8] [Impact Index Per Article: 1.6] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/09/2023]
Abstract
Uniform, well-ordered sub-20 nm patterns can be generated by the templated self-assembly of block copolymers (BCPs) with a high Flory-Huggins interaction parameter (χ). However, the self-assembled BCP monolayers remain limited in the possible structural geometries. Here, we introduce a multiple self-assembly method which uses di-BCPs to produce diverse morphologies, such as dot, dot-in-honeycomb, line-on-dot, double-dot, pondering, dot-in-pondering, and line-on-pondering patterns. To improve the diversity of BCP morphological structures, we employed sphere-forming and cylinder-forming poly(styrene-block-dimethylsiloxane) (PS-b-PDMS) BCPs with a high χ. The self-assembled mono-layer and double-layer SiOx dot patterns were modified at a high temperature (∼800 °C), showing hexagonally arranged (dot) and double-hexagonally arranged (pondering) SiOx patterns, respectively. We successfully obtained additional new nanostructures (big-dot, dot-in-honeycomb, line-on-dot, pondering, dot-in-pondering, and line-on-pondering types) through a second self-assembly of cylinder-forming BCPs using the dot and pondering patterns as guiding templates. This simple approach can likely be extended to the multiple self-assembly of many other BCPs with good functionality, significantly contributing to the development of various nanodevices.
Collapse
Affiliation(s)
- Hyunsung Jung
- Electronic Convergence Materials Division, Korea Institute of Ceramic Engineering & Technology (KICET) 101 Soho-ro, Jinju 52851, Republic of Korea.
| | | | | | | | | | | | | | | | | | | | | | | | | |
Collapse
|
15
|
Ku KH, Lee YJ, Kim Y, Kim BJ. Shape-Anisotropic Diblock Copolymer Particles from Evaporative Emulsions: Experiment and Theory. Macromolecules 2019. [DOI: 10.1021/acs.macromol.8b02465] [Citation(s) in RCA: 45] [Impact Index Per Article: 9.0] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 12/15/2022]
|
16
|
Turgut H, Dingenouts N, Trouillet V, Krolla-Sidenstein P, Gliemann H, Delaittre G. Reactive block copolymers for patterned surface immobilization with sub-30 nm spacing. Polym Chem 2019. [DOI: 10.1039/c8py01777h] [Citation(s) in RCA: 10] [Impact Index Per Article: 2.0] [Reference Citation Analysis] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 01/15/2023]
Abstract
Reactive polystyrene-block-polyisoprene copolymers are synthesized by nitroxide-mediated polymerization, self-assemble within ultra-thin films, and exhibit surface reactivity for patterned immobilization.
Collapse
Affiliation(s)
- Hatice Turgut
- Institute of Toxicology and Genetics (ITG)
- Karlsruhe Institute of Technology (KIT)
- 76344 Eggenstein-Leopoldshafen
- Germany
- Institute for Chemical Technology and Polymer Chemistry (ITCP)
| | - Nico Dingenouts
- Institute for Chemical Technology and Polymer Chemistry (ITCP)
- Karlsruhe Institute of Technology (KIT)
- 76128 Karlsruhe
- Germany
| | - Vanessa Trouillet
- Institute for Applied Materials – Energy Storage System (IAM-ESS) and Karlsruhe Nano Micro Facility (KNMF)
- Karlsruhe Institute of Technology (KIT)
- 76344 Eggenstein-Leopoldshafen
- Germany
| | - Peter Krolla-Sidenstein
- Institute of Functional Interfaces (IFG)
- Karlsruhe Institute of Technology (KIT)
- 76344 Eggenstein-Leopoldshafen
- Germany
| | - Hartmut Gliemann
- Institute of Functional Interfaces (IFG)
- Karlsruhe Institute of Technology (KIT)
- 76344 Eggenstein-Leopoldshafen
- Germany
| | - Guillaume Delaittre
- Institute of Toxicology and Genetics (ITG)
- Karlsruhe Institute of Technology (KIT)
- 76344 Eggenstein-Leopoldshafen
- Germany
- Institute for Chemical Technology and Polymer Chemistry (ITCP)
| |
Collapse
|
17
|
Lee KS, Lee J, Choi C, Seo Y, Moon HC, Kim JK. Vertically Oriented Nanostructures of Poly(3-dodecylthiophene)-Containing Rod–Coil Block Copolymers. Macromolecules 2018. [DOI: 10.1021/acs.macromol.7b02739] [Citation(s) in RCA: 8] [Impact Index Per Article: 1.3] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/28/2022]
Affiliation(s)
- Kyu Seong Lee
- National Creative Research Initiative Center for Smart Block Copolymers, Department of Chemical Engineering, Pohang University of Science and Technology, Pohang, Kyungbuk 37673, Republic of Korea
| | - Jaeyong Lee
- National Creative Research Initiative Center for Smart Block Copolymers, Department of Chemical Engineering, Pohang University of Science and Technology, Pohang, Kyungbuk 37673, Republic of Korea
| | - Chungryong Choi
- National Creative Research Initiative Center for Smart Block Copolymers, Department of Chemical Engineering, Pohang University of Science and Technology, Pohang, Kyungbuk 37673, Republic of Korea
| | - Yeseong Seo
- National Creative Research Initiative Center for Smart Block Copolymers, Department of Chemical Engineering, Pohang University of Science and Technology, Pohang, Kyungbuk 37673, Republic of Korea
| | - Hong Chul Moon
- Department of Chemical Engineering, University of Seoul, Seoul 02504, Republic of Korea
| | - Jin Kon Kim
- National Creative Research Initiative Center for Smart Block Copolymers, Department of Chemical Engineering, Pohang University of Science and Technology, Pohang, Kyungbuk 37673, Republic of Korea
| |
Collapse
|
18
|
Choi J, Li Y, Kim PY, Liu F, Kim H, Yu DM, Huh J, Carter KR, Russell TP. Orthogonally Aligned Block Copolymer Line Patterns on Minimal Topographic Patterns. ACS APPLIED MATERIALS & INTERFACES 2018; 10:8324-8332. [PMID: 29443490 DOI: 10.1021/acsami.7b17713] [Citation(s) in RCA: 7] [Impact Index Per Article: 1.2] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/08/2023]
Abstract
We demonstrate the generation of block copolymer (BCP) line patterns oriented orthogonal to a very small (minimal) topographic trench pattern over arbitrarily large areas using solvent-vapor annealing. Increasing the thickness of BCP films induced an orthogonal alignment of the BCP cylindrical microdomains, where full orthogonal alignment of the cylindrical microdomains with respect to the trench direction was obtained at a film thickness corresponding to 1.70 L0. A capillary flow of the solvent across the trenches was a critical factor in the alignment of the cylindrical microdomains. Grazing incidence small-angle X-ray scattering was used to determine the orientation function of the microdomains, with a value of 0.997 being found reflecting a nearly perfect orientation. This approach to produce orthogonally aligned BCP line patterns could be extended to the nanomanufacturing and fabrication of hierarchical nanostructures.
Collapse
Affiliation(s)
- Jaewon Choi
- Department of Polymer Science and Engineering , University of Massachusetts Amherst , 120 Governors Drive , Amherst , Massachusetts 01003 , United States
| | - Yinyong Li
- Department of Polymer Science and Engineering , University of Massachusetts Amherst , 120 Governors Drive , Amherst , Massachusetts 01003 , United States
| | - Paul Y Kim
- Department of Polymer Science and Engineering , University of Massachusetts Amherst , 120 Governors Drive , Amherst , Massachusetts 01003 , United States
| | - Feng Liu
- Materials Science Division , Lawrence Berkeley National Laboratory , 1 Cyclotron Road , Berkeley , California 94720 , United States
| | - Hyeyoung Kim
- Department of Polymer Science and Engineering , University of Massachusetts Amherst , 120 Governors Drive , Amherst , Massachusetts 01003 , United States
| | - Duk Man Yu
- Department of Polymer Science and Engineering , University of Massachusetts Amherst , 120 Governors Drive , Amherst , Massachusetts 01003 , United States
| | - June Huh
- Department of Chemical and Biological Engineering , Korea University , 145 Anam-ro , Seongbuk-gu, Seoul 02841 , Republic of Korea
| | - Kenneth R Carter
- Department of Polymer Science and Engineering , University of Massachusetts Amherst , 120 Governors Drive , Amherst , Massachusetts 01003 , United States
| | - Thomas P Russell
- Department of Polymer Science and Engineering , University of Massachusetts Amherst , 120 Governors Drive , Amherst , Massachusetts 01003 , United States
- Materials Science Division , Lawrence Berkeley National Laboratory , 1 Cyclotron Road , Berkeley , California 94720 , United States
- Beijing Advanced Innovation Center for Soft Matter Science and Engineering , Beijing University of Chemical Technology , Chaoyang District North Third Ring Road 15 , Beijing 100029 , China
| |
Collapse
|
19
|
Borah D, Cummins C, Rasappa S, Senthamaraikannan R, Salaun M, Zelsmann M, Liontos G, Ntetsikas K, Avgeropoulos A, Morris MA. Nanopatterning via Self-Assembly of a Lamellar-Forming Polystyrene-block-Poly(dimethylsiloxane) Diblock Copolymer on Topographical Substrates Fabricated by Nanoimprint Lithography. NANOMATERIALS (BASEL, SWITZERLAND) 2018; 8:E32. [PMID: 29315245 PMCID: PMC5791119 DOI: 10.3390/nano8010032] [Citation(s) in RCA: 14] [Impact Index Per Article: 2.3] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Download PDF] [Figures] [Subscribe] [Scholar Register] [Received: 12/08/2017] [Revised: 12/28/2017] [Accepted: 01/02/2018] [Indexed: 01/17/2023]
Abstract
The self-assembly of a lamellar-forming polystyrene-block-poly(dimethylsiloxane) (PS-b-PDMS) diblock copolymer (DBCP) was studied herein for surface nanopatterning. The DBCP was synthesized by sequential living anionic polymerization of styrene and hexamethylcyclotrisiloxane (D₃). The number average molecular weight (Mn), polydispersity index (Mw/Mn) and PS volume fraction (φps) of the DBCP were MnPS = 23.0 kg mol-1, MnPDMS = 15.0 kg mol-1, Mw/Mn = 1.06 and φps = 0.6. Thin films of the DBCP were cast and solvent annealed on topographically patterned polyhedral oligomeric silsesquioxane (POSS) substrates. The lamellae repeat distance or pitch (λL) and the width of the PDMS features (dL) are ~35 nm and ~17 nm, respectively, as determined by SEM. The chemistry of the POSS substrates was tuned, and the effects on the self-assembly of the DBCP noted. The PDMS nanopatterns were used as etching mask in order to transfer the DBCP pattern to underlying silicon substrate by a complex plasma etch process yielding sub-15 nm silicon features.
Collapse
Affiliation(s)
- Dipu Borah
- AMBER Centre & CRANN, Trinity College Dublin, College Green, Dublin, Ireland.
| | - Cian Cummins
- AMBER Centre & CRANN, Trinity College Dublin, College Green, Dublin, Ireland.
| | - Sozaraj Rasappa
- AMBER Centre & CRANN, Trinity College Dublin, College Green, Dublin, Ireland.
| | | | - Mathieu Salaun
- Laboratoire des Technologies de la Microelectronique (CNRS), 38054 Grenoble, France.
| | - Marc Zelsmann
- Laboratoire des Technologies de la Microelectronique (CNRS), 38054 Grenoble, France.
| | - George Liontos
- Department of Materials Science Engineering, University of Ioannina, University Campus-Dourouti, 45110 Ioannina, Greece.
| | - Konstantinos Ntetsikas
- Department of Materials Science Engineering, University of Ioannina, University Campus-Dourouti, 45110 Ioannina, Greece.
| | - Apostolos Avgeropoulos
- Department of Materials Science Engineering, University of Ioannina, University Campus-Dourouti, 45110 Ioannina, Greece.
| | - Michael A Morris
- AMBER Centre & CRANN, Trinity College Dublin, College Green, Dublin, Ireland.
| |
Collapse
|
20
|
Zhang L, Liu L, Lin J. Well-ordered self-assembled nanostructures of block copolymer films via synergistic integration of chemoepitaxy and zone annealing. Phys Chem Chem Phys 2018; 20:498-508. [DOI: 10.1039/c7cp06261c] [Citation(s) in RCA: 7] [Impact Index Per Article: 1.2] [Reference Citation Analysis] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/21/2022]
Abstract
The integrated chemical template/zone annealing method has the capability to rapidly fabricate well-aligned and well-oriented nanostructures over a macroscopic area.
Collapse
Affiliation(s)
- Liangshun Zhang
- Shanghai Key Laboratory of Advanced Polymeric Materials
- State Key Laboratory of Bioreactor Engineering
- Key Laboratory for Ultrafine Materials of Ministry of Education
- School of Materials Science and Engineering
- East China University of Science and Technology
| | - Lingling Liu
- Shanghai Key Laboratory of Advanced Polymeric Materials
- State Key Laboratory of Bioreactor Engineering
- Key Laboratory for Ultrafine Materials of Ministry of Education
- School of Materials Science and Engineering
- East China University of Science and Technology
| | - Jiaping Lin
- Shanghai Key Laboratory of Advanced Polymeric Materials
- State Key Laboratory of Bioreactor Engineering
- Key Laboratory for Ultrafine Materials of Ministry of Education
- School of Materials Science and Engineering
- East China University of Science and Technology
| |
Collapse
|
21
|
Chang CW, Cheng MH, Ko HW, Chu CW, Tu YH, Chen JT. Microwave-annealing-induced nanowetting of block copolymers in cylindrical nanopores. SOFT MATTER 2017; 14:35-41. [PMID: 29210440 DOI: 10.1039/c7sm02103h] [Citation(s) in RCA: 10] [Impact Index Per Article: 1.4] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/07/2023]
Abstract
Block copolymers have attracted great attention because of their abilities to self-assemble into well-ordered microphase-separated structures. To generate nanopatterns of block copolymers with long-range ordering and low-defect densities in shorter time scales, microwave annealing has recently been applied. Microwave annealing, however, has so far only been used for block copolymer bulks and thin films. In this work, we discover that microwave annealing can be successfully applied to three-dimensional block copolymer nanostructures by studying the infiltration and microphase separation of block copolymers in cylindrical nanopores upon microwave irradiation. Cylinder-forming and lamella-forming poly(styrene-block-dimethylsiloxane) (PS-b-PDMS) are introduced into the nanopores of anodic aluminum oxide (AAO) templates. In addition, AAO templates with different pore sizes are used to study the effect of the commensurabilities between the pore diameters and the repeating periods of the block copolymers on the morphologies of the block copolymer nanostructures.
Collapse
Affiliation(s)
- Chun-Wei Chang
- Department of Applied Chemistry, National Chiao Tung University, Hsinchu 30010, Taiwan.
| | | | | | | | | | | |
Collapse
|
22
|
Park WI, Choi YJ, Yuk JM, Seo HK, Kim KH. Enhanced self-assembly of block copolymers by surface modification of a guiding template. Polym J 2017. [DOI: 10.1038/s41428-017-0007-5] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/09/2022]
|
23
|
Jeong G, Yu DM, Mapas JKD, Sun Z, Rzayev J, Russell TP. Realizing 5.4 nm Full Pitch Lamellar Microdomains by a Solid-State Transformation. Macromolecules 2017. [DOI: 10.1021/acs.macromol.7b01443] [Citation(s) in RCA: 46] [Impact Index Per Article: 6.6] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 12/23/2022]
Affiliation(s)
- Gajin Jeong
- Department
of Polymer Science and Engineering, University of Massachusetts Amherst, 120 Governors Drive, Amherst, Massachusetts 01003, United States
| | - Duk Man Yu
- Department
of Polymer Science and Engineering, University of Massachusetts Amherst, 120 Governors Drive, Amherst, Massachusetts 01003, United States
| | - Jose Kenneth D. Mapas
- Department
of Chemistry, University at Buffalo, The State University of New York, Buffalo, New York 14260-3000, United States
| | - Zhiwei Sun
- Department
of Polymer Science and Engineering, University of Massachusetts Amherst, 120 Governors Drive, Amherst, Massachusetts 01003, United States
| | - Javid Rzayev
- Department
of Chemistry, University at Buffalo, The State University of New York, Buffalo, New York 14260-3000, United States
| | - Thomas P. Russell
- Department
of Polymer Science and Engineering, University of Massachusetts Amherst, 120 Governors Drive, Amherst, Massachusetts 01003, United States
- Materials
Science Division, Lawrence Berkeley National Laboratory, 1 Cyclotron Road, Berkeley, California 94720, United States
| |
Collapse
|
24
|
Liao Y, Chen WC, Borsali R. Carbohydrate-Based Block Copolymer Thin Films: Ultrafast Nano-Organization with 7 nm Resolution Using Microwave Energy. ADVANCED MATERIALS (DEERFIELD BEACH, FLA.) 2017; 29. [PMID: 28681944 DOI: 10.1002/adma.201701645] [Citation(s) in RCA: 21] [Impact Index Per Article: 3.0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 03/23/2017] [Revised: 05/11/2017] [Indexed: 05/12/2023]
Abstract
Block copolymers (BCP) can self-assemble into nanoscale patterns with a wide variety of applications in the semiconductor industry. The self-assembly of BCPs is commonly accomplished by solvent vapor or thermal annealing, but generally these methods require long time (few hours) to obtain nanostructured thin films. In this contribution, a new and ultrafast method (using microwaves) is proposed-high temperature solvent vapor annealing (HTSVA), combining solvent vapor annealing with thermal annealing, to achieve fast and controllable self-assembly of amphiphilic BCP thin films. A promising carbohydrate-based BCP capable of forming cylindrical patterns with some of the smallest feature sizes is used for demonstrating how to obtain a highly ordered vertical cylindrical pattern with sub-10 nm feature sizes in few seconds by HTSVA. HTSVA provides not only a simple way to achieve BCP fast self-assembly in practical applications but also a tool to study the self-assembly behavior of BCPs under extreme conditions.
Collapse
Affiliation(s)
- Yingjie Liao
- CERMAV, CNRS, 38041, Grenoble, France
- CERMAV, Grenoble Alpes University, 38041, Grenoble, France
| | - Wen-Chang Chen
- Department of Chemical Engineering, National Taiwan University, Taipei, 10617, Taiwan
| | - Redouane Borsali
- CERMAV, CNRS, 38041, Grenoble, France
- CERMAV, Grenoble Alpes University, 38041, Grenoble, France
| |
Collapse
|
25
|
Cummins C, Borah D, Rasappa S, Senthamaraikannan R, Simao C, Francone A, Kehagias N, Sotomayor-Torres CM, Morris MA. Self-Assembled Nanofeatures in Complex Three-Dimensional Topographies via Nanoimprint and Block Copolymer Lithography Methods. ACS OMEGA 2017; 2:4417-4423. [PMID: 31457733 PMCID: PMC6641768 DOI: 10.1021/acsomega.7b00781] [Citation(s) in RCA: 2] [Impact Index Per Article: 0.3] [Reference Citation Analysis] [Abstract] [Track Full Text] [Figures] [Subscribe] [Scholar Register] [Received: 06/13/2017] [Accepted: 07/18/2017] [Indexed: 06/10/2023]
Abstract
Achieving ultrasmall dimensions of materials and retaining high throughput are critical fabrication considerations for nanotechnology use. This article demonstrates an integrated approach for developing isolated sub-20 nm silicon oxide features through combined "top-down" and "bottom-up" methods: nanoimprint lithography (NIL) and block copolymer (BCP) lithography. Although techniques like those demonstrated here have been developed for nanolithographic application in the microelectronics processing industry, similar approaches could be utilized for sensor, fluidic, and optical-based devices. Thus, this article centers on looking at the possibility of generating isolated silica structures on substrates. NIL was used to create intriguing three-dimensional (3-D) polyhedral oligomeric silsesquioxane (POSS) topographical arrays that guided and confined polystyrene-block-poly(dimethylsiloxane) (PS-b-PDMS) BCP nanofeatures in isolated regions. A cylinder forming PS-b-PDMS BCP system was successfully etched using a one-step etching process to create line-space arrays with a period of 35 nm in confined POSS arrays. We highlight large-area (>6 μm) coverage of line-space arrays in 3-D topographies that could potentially be utilized, for example, in nanofluidic systems. Aligned features for directed self-assembly application are also demonstrated. The high-density, confined silicon oxide nanofeatures in soft lithographic templates over macroscopic areas illustrate the advantages of integrating distinct lithographic methods for attaining discrete features in the deep nanoscale regime.
Collapse
Affiliation(s)
- Cian Cummins
- AMBER
Centre and CRANN, Trinity College Dublin, Dublin 2, Ireland
| | - Dipu Borah
- AMBER
Centre and CRANN, Trinity College Dublin, Dublin 2, Ireland
| | - Sozaraj Rasappa
- Optoelectronics
Research Center, Tampere University of Technology, P.O. Box 692, FI-33101 Tampere, Finland
| | | | - Claudia Simao
- Catalan
Institute of Nanoscience and Nanotechnology (ICN2), CSIC and The Barcelona Institute of Science and Technology, Campus UAB, Bellaterra, 08193 Barcelona, Spain
| | - Achille Francone
- Catalan
Institute of Nanoscience and Nanotechnology (ICN2), CSIC and The Barcelona Institute of Science and Technology, Campus UAB, Bellaterra, 08193 Barcelona, Spain
| | - Nikolaos Kehagias
- Catalan
Institute of Nanoscience and Nanotechnology (ICN2), CSIC and The Barcelona Institute of Science and Technology, Campus UAB, Bellaterra, 08193 Barcelona, Spain
| | - Clivia M. Sotomayor-Torres
- Catalan
Institute of Nanoscience and Nanotechnology (ICN2), CSIC and The Barcelona Institute of Science and Technology, Campus UAB, Bellaterra, 08193 Barcelona, Spain
- ICREA, Pg. Lluís
Companys 23, 08010 Barcelona, Spain
| | | |
Collapse
|
26
|
Jin HM, Park DY, Jeong SJ, Lee GY, Kim JY, Mun JH, Cha SK, Lim J, Kim JS, Kim KH, Lee KJ, Kim SO. Flash Light Millisecond Self-Assembly of High χ Block Copolymers for Wafer-Scale Sub-10 nm Nanopatterning. ADVANCED MATERIALS (DEERFIELD BEACH, FLA.) 2017; 29:1700595. [PMID: 28635174 DOI: 10.1002/adma.201700595] [Citation(s) in RCA: 47] [Impact Index Per Article: 6.7] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 01/30/2017] [Revised: 05/01/2017] [Indexed: 05/23/2023]
Abstract
One of the fundamental challenges encountered in successful incorporation of directed self-assembly in sub-10 nm scale practical nanolithography is the process compatibility of block copolymers with a high Flory-Huggins interaction parameter (χ). Herein, reliable, fab-compatible, and ultrafast directed self-assembly of high-χ block copolymers is achieved with intense flash light. The instantaneous heating/quenching process over an extremely high temperature (over 600 °C) by flash light irradiation enables large grain growth of sub-10 nm scale self-assembled nanopatterns without thermal degradation or dewetting in a millisecond time scale. A rapid self-assembly mechanism for a highly ordered morphology is identified based on the kinetics and thermodynamics of the block copolymers with strong segregation. Furthermore, this novel self-assembly mechanism is combined with graphoepitaxy to demonstrate the feasibility of ultrafast directed self-assembly of sub-10 nm nanopatterns over a large area. A chemically modified graphene film is used as a flexible and conformal light-absorbing layer. Subsequently, transparent and mechanically flexible nanolithography with a millisecond photothermal process is achieved leading the way for roll-to-roll processability.
Collapse
Affiliation(s)
- Hyeong Min Jin
- National Creative Research Initiative Center for Multi-Dimensional Directed Nanoscale Assembly, Department of Materials Science and Engineering, KAIST, Daejeon, 34141, Republic of Korea
| | - Dae Yong Park
- Department of Materials Science and Engineering, KAIST, Daejeon, 34141, Republic of Korea
| | - Seong-Jun Jeong
- Device Laboratory, Device & System Research Center, Samsung Advanced Institute and Technology, Suwon, 16678, Republic of Korea
| | - Gil Yong Lee
- National Creative Research Initiative Center for Multi-Dimensional Directed Nanoscale Assembly, Department of Materials Science and Engineering, KAIST, Daejeon, 34141, Republic of Korea
| | - Ju Young Kim
- National Creative Research Initiative Center for Multi-Dimensional Directed Nanoscale Assembly, Department of Materials Science and Engineering, KAIST, Daejeon, 34141, Republic of Korea
| | - Jeong Ho Mun
- National Creative Research Initiative Center for Multi-Dimensional Directed Nanoscale Assembly, Department of Materials Science and Engineering, KAIST, Daejeon, 34141, Republic of Korea
| | - Seung Keun Cha
- National Creative Research Initiative Center for Multi-Dimensional Directed Nanoscale Assembly, Department of Materials Science and Engineering, KAIST, Daejeon, 34141, Republic of Korea
| | - Joonwon Lim
- National Creative Research Initiative Center for Multi-Dimensional Directed Nanoscale Assembly, Department of Materials Science and Engineering, KAIST, Daejeon, 34141, Republic of Korea
| | - Jun Soo Kim
- National Creative Research Initiative Center for Multi-Dimensional Directed Nanoscale Assembly, Department of Materials Science and Engineering, KAIST, Daejeon, 34141, Republic of Korea
| | - Kwang Ho Kim
- Department of Materials Science and Engineering, Pusan National University, Pusan, 46241, Republic of Korea
| | - Keon Jae Lee
- Department of Materials Science and Engineering, KAIST, Daejeon, 34141, Republic of Korea
| | - Sang Ouk Kim
- National Creative Research Initiative Center for Multi-Dimensional Directed Nanoscale Assembly, Department of Materials Science and Engineering, KAIST, Daejeon, 34141, Republic of Korea
| |
Collapse
|
27
|
Borah D, Cummins C, Rasappa S, Watson SMD, Pike AR, Horrocks BR, Fulton DA, Houlton A, Liontos G, Ntetsikas K, Avgeropoulos A, Morris MA. Nanoscale silicon substrate patterns from self-assembly of cylinder forming poly(styrene)-block-poly(dimethylsiloxane) block copolymer on silane functionalized surfaces. NANOTECHNOLOGY 2017; 28:044001. [PMID: 27981945 DOI: 10.1088/1361-6528/28/4/044001] [Citation(s) in RCA: 3] [Impact Index Per Article: 0.4] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/06/2023]
Abstract
Poly(styrene)-block-poly(dimethylsiloxane) (PS-b-PDMS) is an excellent block copolymer (BCP) system for self-assembly and inorganic template fabrication because of its high Flory-Huggins parameter (χ ∼ 0.26) at room temperature in comparison to other BCPs, and high selective etch contrast between PS and PDMS block for nanopatterning. In this work, self-assembly in PS-b-PDMS BCP is achieved by combining hydroxyl-terminated poly(dimethylsiloxane) (PDMS-OH) brush surfaces with solvent vapor annealing. As an alternative to standard brush chemistry, we report a simple method based on the use of surfaces functionalized with silane-based self-assembled monolayers (SAMs). A solution-based approach to SAM formation was adopted in this investigation. The influence of the SAM-modified surfaces upon BCP films was compared with polymer brush-based surfaces. The cylinder forming PS-b-PDMS BCP and PDMS-OH polymer brush were synthesized by sequential living anionic polymerization. It was observed that silane SAMs provided the appropriate surface chemistry which, when combined with solvent annealing, led to microphase segregation in the BCP. It was also demonstrated that orientation of the PDMS cylinders may be controlled by judicious choice of the appropriate silane. The PDMS patterns were successfully used as an on-chip etch mask to transfer the BCP pattern to underlying silicon substrate with sub-25 nm silicon nanoscale features. This alternative SAM/BCP approach to nanopattern formation shows promising results, pertinent in the field of nanotechnology, and with much potential for application, such as in the fabrication of nanoimprint lithography stamps, nanofluidic devices or in narrow and multilevel interconnected lines.
Collapse
Affiliation(s)
- Dipu Borah
- Department of Chemistry, University College Cork, Cork, Ireland. Tyndall National Institute, Lee Maltings, Prospect Row, Cork, Ireland. AMBER, Centre for Research on Adaptive Nanostructures and Nanodevices (CRANN), Trinity College Dublin, Dublin 2, Ireland
| | | | | | | | | | | | | | | | | | | | | | | |
Collapse
|
28
|
Wan X, Gao T, Zhang L, Lin J. Ordering kinetics of lamella-forming block copolymers under the guidance of various external fields studied by dynamic self-consistent field theory. Phys Chem Chem Phys 2017; 19:6707-6720. [DOI: 10.1039/c6cp08726d] [Citation(s) in RCA: 17] [Impact Index Per Article: 2.4] [Reference Citation Analysis] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 02/05/2023]
Abstract
We theoretically engineer a new scheme, which integrates a permanent field for pattern registration and a dynamic external field for defect annihilation, to direct the self-assembly of block copolymers.
Collapse
Affiliation(s)
- Xiaomin Wan
- Shanghai Key Laboratory of Advanced Polymeric Materials
- State Key Laboratory of Bioreactor Engineering
- Key Laboratory for Ultrafine Materials of Ministry of Education
- School of Materials Science and Engineering
- East China University of Science and Technology
| | - Tong Gao
- Shanghai Key Laboratory of Advanced Polymeric Materials
- State Key Laboratory of Bioreactor Engineering
- Key Laboratory for Ultrafine Materials of Ministry of Education
- School of Materials Science and Engineering
- East China University of Science and Technology
| | - Liangshun Zhang
- Shanghai Key Laboratory of Advanced Polymeric Materials
- State Key Laboratory of Bioreactor Engineering
- Key Laboratory for Ultrafine Materials of Ministry of Education
- School of Materials Science and Engineering
- East China University of Science and Technology
| | - Jiaping Lin
- Shanghai Key Laboratory of Advanced Polymeric Materials
- State Key Laboratory of Bioreactor Engineering
- Key Laboratory for Ultrafine Materials of Ministry of Education
- School of Materials Science and Engineering
- East China University of Science and Technology
| |
Collapse
|
29
|
Toolan DTW, Adlington K, Isakova A, Kalamiotis A, Mokarian-Tabari P, Dimitrakis G, Dodds C, Arnold T, Terrill NJ, Bras W, Hermida Merino D, Topham PD, Irvine DJ, Howse JR. Selective molecular annealing: in situ small angle X-ray scattering study of microwave-assisted annealing of block copolymers. Phys Chem Chem Phys 2017; 19:20412-20419. [DOI: 10.1039/c7cp03578k] [Citation(s) in RCA: 9] [Impact Index Per Article: 1.3] [Reference Citation Analysis] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 01/08/2023]
Abstract
A new experimental set-up facilitating in situ SAXS during microwave annealing of polymers.
Collapse
|
30
|
Block copolymer thin films: Characterizing nanostructure evolution with in situ X-ray and neutron scattering. POLYMER 2016. [DOI: 10.1016/j.polymer.2016.06.069] [Citation(s) in RCA: 26] [Impact Index Per Article: 3.3] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/17/2022]
|
31
|
Majewski PW, Yager KG. Rapid ordering of block copolymer thin films. JOURNAL OF PHYSICS. CONDENSED MATTER : AN INSTITUTE OF PHYSICS JOURNAL 2016; 28:403002. [PMID: 27537062 DOI: 10.1088/0953-8984/28/40/403002] [Citation(s) in RCA: 58] [Impact Index Per Article: 7.3] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 05/23/2023]
Abstract
Block-copolymers self-assemble into diverse morphologies, where nanoscale order can be finely tuned via block architecture and processing conditions. However, the ultimate usage of these materials in real-world applications may be hampered by the extremely long thermal annealing times-hours or days-required to achieve good order. Here, we provide an overview of the fundamentals of block-copolymer self-assembly kinetics, and review the techniques that have been demonstrated to influence, and enhance, these ordering kinetics. We discuss the inherent tradeoffs between oven annealing, solvent annealing, microwave annealing, zone annealing, and other directed self-assembly methods; including an assessment of spatial and temporal characteristics. We also review both real-space and reciprocal-space analysis techniques for quantifying order in these systems.
Collapse
Affiliation(s)
- Pawel W Majewski
- Center for Functional Nanomaterials, Brookhaven National Laboratory, Upton, NY, USA. Department of Chemistry, University of Warsaw, Warsaw, Poland
| | | |
Collapse
|
32
|
Choi J, Huh J, Carter KR, Russell TP. Directed Self-Assembly of Block Copolymer Thin Films Using Minimal Topographic Patterns. ACS NANO 2016; 10:7915-7925. [PMID: 27391372 DOI: 10.1021/acsnano.6b03857] [Citation(s) in RCA: 21] [Impact Index Per Article: 2.6] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/06/2023]
Abstract
We demonstrate that a minimal topographic pattern with a confinement depth (D) much less than the domain spacing of block copolymers (L0) can be used to achieve highly ordered hexagonal arrays or unidirectionally aligned line patterns over large areas. Cylinder-forming poly(styrene-b-ethylene oxide) (PS-b-PEO) thin films were prepared on a series of minimal single trench patterns with different widths (W) and D. Upon thermal annealing, hexagonal arrays of cylindrical microdomains propagated away from the edges of a single trench, providing insight into the minimum pitch (P) of the trench necessary to fully order hexagonal arrays. The confinement trench D of 0.30L0, the W in the range of 1.26L0 to 2.16L0, and the P as long as 18.84L0 were found to be effective for the generation of laterally ordered hexagonal arrays with the density amplification up by a factor of 17, within the minimally patterned trench surfaces of 100 μm by 100 μm. Furthermore, we produced line patterns of cylindrical microdomains by using solvent vapor annealing on the minimally patterned trench surfaces. However, highly aligned line patterns could be achieved only on the patterned surface with P = 5.75L0, W = 1.26L0, and D = 0.30L0 because the influence of the minimally patterned trench surface on the lateral ordering decreased as the P and W increase at the fixed D, resulting in poor ordering. These findings suggest that the minimal topographic pattern is more effective in guiding hexagonal arrays than in guiding line patterns.
Collapse
Affiliation(s)
- Jaewon Choi
- Department of Polymer Science and Engineering, University of Massachusetts Amherst , 120 Governors Drive, Amherst, Massachusetts 01003, United States
| | - June Huh
- Department of Chemical and Biological Engineering, Korea University , 145 Anam-ro, Seongbuk-gu, Seoul 02841, Republic of Korea
| | - Kenneth R Carter
- Department of Polymer Science and Engineering, University of Massachusetts Amherst , 120 Governors Drive, Amherst, Massachusetts 01003, United States
| | - Thomas P Russell
- Department of Polymer Science and Engineering, University of Massachusetts Amherst , 120 Governors Drive, Amherst, Massachusetts 01003, United States
- Materials Sciences Division, Lawrence Berkeley National Laboratory , Berkeley, California 94720, United States
| |
Collapse
|
33
|
Cummins C, Ghoshal T, Holmes JD, Morris MA. Strategies for Inorganic Incorporation using Neat Block Copolymer Thin Films for Etch Mask Function and Nanotechnological Application. ADVANCED MATERIALS (DEERFIELD BEACH, FLA.) 2016; 28:5586-618. [PMID: 26749571 DOI: 10.1002/adma.201503432] [Citation(s) in RCA: 41] [Impact Index Per Article: 5.1] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 07/16/2015] [Revised: 10/07/2015] [Indexed: 05/12/2023]
Abstract
Block copolymers (BCPs) and their directed self-assembly (DSA) has emerged as a realizable complementary tool to aid optical patterning of device elements for future integrated circuit advancements. Methods to enhance BCP etch contrast for DSA application and further potential applications of inorganic nanomaterial features (e.g., semiconductor, dielectric, metal and metal oxide) are examined. Strategies to modify, infiltrate and controllably deposit inorganic materials by utilizing neat self-assembled BCP thin films open a rich design space to fabricate functional features in the nanoscale regime. An understanding and overview on innovative ways for the selective inclusion/infiltration or deposition of inorganic moieties in microphase separated BCP nanopatterns is provided. Early initial inclusion methods in the field and exciting contemporary reports to further augment etch contrast in BCPs for pattern transfer application are described. Specifically, the use of evaporation and sputtering methods, atomic layer deposition, sequential infiltration synthesis, metal-salt inclusion and aqueous metal reduction methodologies forming isolated nanofeatures are highlighted in di-BCP systems. Functionalities and newly reported uses for electronic and non-electronic technologies based on the inherent properties of incorporated inorganic nanostructures using di-BCP templates are highlighted. We outline the potential for extension of incorporation methods to triblock copolymer features for more diverse applications. Challenges and emerging areas of interest for inorganic infiltration of BCPs are also discussed.
Collapse
Affiliation(s)
- Cian Cummins
- Materials Research Group, Department of Chemistry and Tyndall National Institute, University College Cork, Cork, Ireland
- AMBER@CRANN, Trinity College Dublin, Dublin, Ireland
| | - Tandra Ghoshal
- Materials Research Group, Department of Chemistry and Tyndall National Institute, University College Cork, Cork, Ireland
- AMBER@CRANN, Trinity College Dublin, Dublin, Ireland
| | - Justin D Holmes
- AMBER@CRANN, Trinity College Dublin, Dublin, Ireland
- Materials Chemistry and Analysis Group, Department of Chemistry and Tyndall National Institute, University College Cork, Cork, Ireland
| | - Michael A Morris
- Materials Research Group, Department of Chemistry and Tyndall National Institute, University College Cork, Cork, Ireland
- AMBER@CRANN, Trinity College Dublin, Dublin, Ireland
| |
Collapse
|
34
|
Chu CJ, Cheng MH, Chung PY, Chi MH, Jeng KS, Chen JT. Reversible morphology control of three-dimensional block copolymer nanostructures by the solvent-annealing-induced wetting in anodic aluminum oxide templates. INT J POLYM MATER PO 2016. [DOI: 10.1080/00914037.2016.1157801] [Citation(s) in RCA: 4] [Impact Index Per Article: 0.5] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 10/21/2022]
|
35
|
Garnier J, Arias-Zapata J, Marconot O, Arnaud S, Böhme S, Girardot C, Buttard D, Zelsmann M. Sub-10 nm Silicon Nanopillar Fabrication Using Fast and Brushless Thermal Assembly of PS-b-PDMS Diblock Copolymer. ACS APPLIED MATERIALS & INTERFACES 2016; 8:9954-9960. [PMID: 27020847 DOI: 10.1021/acsami.6b01255] [Citation(s) in RCA: 11] [Impact Index Per Article: 1.4] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/05/2023]
Abstract
A new approach to obtaining spherical nanodomains using polystyrene-block-polydimethylsiloxane (PS-b-PDMS) is proposed. To reduce drastically the process time, we blended a copolymer with cylindrical morphology with a PS homopolymer. Adding PS homopolymer into a low-molar-mass cylindrical morphology PS-b-PDMS system drives it toward a spherical morphology. Besides, by controlling the as-spun state, spherical PDMS nanodomains could be kept and thermally arranged. This PS-homopolymer addition allows not only an efficient, purely thermal arrangement process of spheres but also the ability to work directly on nontreated silicon substrates. Indeed, as shown by STEM measurements, no PS brush surface treatment was necessary in our study to avoid a PDMS wetting layer at the interface with the Si substrate. Our approach was compared to a sphere-forming diblock copolymer, which needs a longer thermal annealing. Furthermore, GISAXS measurements provided complete information on PDMS sphere features. Excellent long-range order spherical microdomains were therefore produced on flat surfaces and inside graphoepitaxy trenches with a period of 21 nm, as were in-plane spheres with a diameter of 8 nm with a 15 min thermal annealing. Finally, direct plasma-etching transfer into the silicon substrate was demonstrated, and 20 nm high silicon nanopillars were obtained, which are very promising results for various nanopatterning applications.
Collapse
Affiliation(s)
- Jérôme Garnier
- Université Grenoble Alpes , F-38000 Grenoble, France
- CNRS, LTM , F-38000 Grenoble, France
- CEA, LETI , MINATEC Campus, F-38054 Grenoble, France
| | - Javier Arias-Zapata
- Université Grenoble Alpes , F-38000 Grenoble, France
- CNRS, LTM , F-38000 Grenoble, France
- CEA, LETI , MINATEC Campus, F-38054 Grenoble, France
| | - Olivier Marconot
- Université Grenoble Alpes , F-38000 Grenoble, France
- CEA, INAC-SP2M , F-38000 Grenoble, France
| | - Sandrine Arnaud
- Université Grenoble Alpes , F-38000 Grenoble, France
- CNRS, LTM , F-38000 Grenoble, France
- CEA, LETI , MINATEC Campus, F-38054 Grenoble, France
| | - Sophie Böhme
- Université Grenoble Alpes , F-38000 Grenoble, France
- CNRS, LTM , F-38000 Grenoble, France
- CEA, LETI , MINATEC Campus, F-38054 Grenoble, France
| | - Cécile Girardot
- Université Grenoble Alpes , F-38000 Grenoble, France
- CNRS, LTM , F-38000 Grenoble, France
- CEA, LETI , MINATEC Campus, F-38054 Grenoble, France
| | - Denis Buttard
- Université Grenoble Alpes , F-38000 Grenoble, France
- CEA, INAC-SP2M , F-38000 Grenoble, France
- IUT-1 , 17 quai C. Bernard, F-38000 Grenoble, France
| | - Marc Zelsmann
- Université Grenoble Alpes , F-38000 Grenoble, France
- CNRS, LTM , F-38000 Grenoble, France
- CEA, LETI , MINATEC Campus, F-38054 Grenoble, France
| |
Collapse
|
36
|
Giammaria TJ, Ferrarese Lupi F, Seguini G, Perego M, Vita F, Francescangeli O, Wenning B, Ober CK, Sparnacci K, Antonioli D, Gianotti V, Laus M. Micrometer-Scale Ordering of Silicon-Containing Block Copolymer Thin Films via High-Temperature Thermal Treatments. ACS APPLIED MATERIALS & INTERFACES 2016; 8:9897-9908. [PMID: 27020526 DOI: 10.1021/acsami.6b02300] [Citation(s) in RCA: 12] [Impact Index Per Article: 1.5] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/05/2023]
Abstract
Block copolymer (BCP) self-assembly is expected to complement conventional optical lithography for the fabrication of next-generation microelectronic devices. In this regard, silicon-containing BCPs with a high Flory-Huggins interaction parameter (χ) are extremely appealing because they form high-resolution nanostructures with characteristic dimensions below 10 nm. However, due to their slow self-assembly kinetics and low thermal stability, these silicon-containing high-χ BCPs are usually processed by solvent vapor annealing or in solvent-rich ambient at a low annealing temperature, significantly increasing the complexity of the facilities and of the procedures. In this work, the self-assembly of cylinder-forming polystyrene-block-poly(dimethylsiloxane-random-vinylmethylsiloxane) (PS-b-P(DMS-r-VMS)) BCP on flat substrates is promoted by means of a simple thermal treatment at high temperatures. Homogeneous PS-b-P(DMS-r-VMS) thin films covering the entire sample surface are obtained without any evidence of dewetting phenomena. The BCP arranges in a single layer of cylindrical P(DMS-r-VMS) nanostructures parallel-oriented with respect to the substrate. By properly adjusting the surface functionalization, the heating rate, the annealing temperature, and the processing time, one can obtain correlation length values larger than 1 μm in a time scale fully compatible with the stringent requirements of the microelectronic industry.
Collapse
Affiliation(s)
- Tommaso Jacopo Giammaria
- Laboratorio MDM, IMM-CNR , Via C. Olivetti 2, 20864 Agrate Brianza, Italy
- Dipartimento di Scienze e Innovazione Tecnologica (DISIT), Universitá del Piemonte Orientale "A. Avogadro" , Viale T. Michel 11, 15121 Alessandria, Italy
| | | | - Gabriele Seguini
- Laboratorio MDM, IMM-CNR , Via C. Olivetti 2, 20864 Agrate Brianza, Italy
| | - Michele Perego
- Laboratorio MDM, IMM-CNR , Via C. Olivetti 2, 20864 Agrate Brianza, Italy
| | - Francesco Vita
- Dipartimento di Scienze e Ingegneria della Materia, dell'Ambiente ed Urbanistica and CNISM, Universitá Politecnica delle Marche , Via Brecce Bianche, 60131 Ancona, Italy
| | - Oriano Francescangeli
- Dipartimento di Scienze e Ingegneria della Materia, dell'Ambiente ed Urbanistica and CNISM, Universitá Politecnica delle Marche , Via Brecce Bianche, 60131 Ancona, Italy
| | - Brandon Wenning
- Department of Materials Science and Engineering, Cornell University , Bard Hall, Ithaca, New York 14853, United States
| | - Christopher K Ober
- Department of Materials Science and Engineering, Cornell University , Bard Hall, Ithaca, New York 14853, United States
| | - Katia Sparnacci
- Dipartimento di Scienze e Innovazione Tecnologica (DISIT), Universitá del Piemonte Orientale "A. Avogadro" , Viale T. Michel 11, 15121 Alessandria, Italy
| | - Diego Antonioli
- Dipartimento di Scienze e Innovazione Tecnologica (DISIT), Universitá del Piemonte Orientale "A. Avogadro" , Viale T. Michel 11, 15121 Alessandria, Italy
| | - Valentina Gianotti
- Dipartimento di Scienze e Innovazione Tecnologica (DISIT), Universitá del Piemonte Orientale "A. Avogadro" , Viale T. Michel 11, 15121 Alessandria, Italy
| | - Michele Laus
- Dipartimento di Scienze e Innovazione Tecnologica (DISIT), Universitá del Piemonte Orientale "A. Avogadro" , Viale T. Michel 11, 15121 Alessandria, Italy
| |
Collapse
|
37
|
Cummins C, Mokarian-Tabari P, Andreazza P, Sinturel C, Morris MA. Solvothermal Vapor Annealing of Lamellar Poly(styrene)-block-poly(d,l-lactide) Block Copolymer Thin Films for Directed Self-Assembly Application. ACS APPLIED MATERIALS & INTERFACES 2016; 8:8295-8304. [PMID: 26950246 DOI: 10.1021/acsami.6b00765] [Citation(s) in RCA: 12] [Impact Index Per Article: 1.5] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/05/2023]
Abstract
Solvothermal vapor annealing (STVA) was employed to induce microphase separation in a lamellar forming block copolymer (BCP) thin film containing a readily degradable block. Directed self-assembly of poly(styrene)-block-poly(d,l-lactide) (PS-b-PLA) BCP films using topographically patterned silicon nitride was demonstrated with alignment over macroscopic areas. Interestingly, we observed lamellar patterns aligned parallel as well as perpendicular (perpendicular microdomains to substrate in both cases) to the topography of the graphoepitaxial guiding patterns. PS-b-PLA BCP microphase separated with a high degree of order in an atmosphere of tetrahydrofuran (THF) at an elevated vapor pressure (at approximately 40-60 °C). Grazing incidence small-angle X-ray scattering (GISAXS) measurements of PS-b-PLA films reveal the through-film uniformity of perpendicular microdomains after STVA. Perpendicular lamellar orientation was observed on both hydrophilic and relatively hydrophobic surfaces with a domain spacing (L0) of ∼32.5 nm. The rapid removal of the PLA microdomains is demonstrated using a mild basic solution for the development of a well-defined PS mask template. GISAXS data reveal the through-film uniformity is retained following wet etching. The experimental results in this article demonstrate highly oriented PS-b-PLA microdomains after a short annealing period and facile PLA removal to form porous on-chip etch masks for nanolithography application.
Collapse
Affiliation(s)
- Cian Cummins
- Materials Research Group, Department of Chemistry and Tyndall National Institute, University College Cork , T12 YN60 Cork, Ireland
- AMBER, CRANN, Trinity College Dublin, Dublin 2, Ireland
| | - Parvaneh Mokarian-Tabari
- Materials Research Group, Department of Chemistry and Tyndall National Institute, University College Cork , T12 YN60 Cork, Ireland
- AMBER, CRANN, Trinity College Dublin, Dublin 2, Ireland
| | - Pascal Andreazza
- Interfaces, Confinement, Matériaux et Nanostructures, ICMN, UMR 7374, CNRS/Université d'Orléans, 1b Rue de la Férollerie, 45071 Orléans, France
| | - Christophe Sinturel
- Interfaces, Confinement, Matériaux et Nanostructures, ICMN, UMR 7374, CNRS/Université d'Orléans, 1b Rue de la Férollerie, 45071 Orléans, France
| | - Michael A Morris
- Materials Research Group, Department of Chemistry and Tyndall National Institute, University College Cork , T12 YN60 Cork, Ireland
- AMBER, CRANN, Trinity College Dublin, Dublin 2, Ireland
| |
Collapse
|
38
|
|
39
|
HIRAI T. Design and Fabrication of Polymer Interfaces and Evaluation of Their Molecular Aggregation Structure. KOBUNSHI RONBUNSHU 2016. [DOI: 10.1295/koron.2016-0025] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.1] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 12/19/2022]
Affiliation(s)
- Tomoyasu HIRAI
- Institute for Materials Chemistry and Engineering, Kyushu University
- Graduate School of Engineering, Kyushu University
- International Institute for Carbon-Neutral Energy Research (WPI I2CNER), Kyushu University
| |
Collapse
|
40
|
Park WI, Choi YJ, Yun JM, Hong SW, Jung YS, Kim KH. Enhancing the Directed Self-assembly Kinetics of Block Copolymers Using Binary Solvent Mixtures. ACS APPLIED MATERIALS & INTERFACES 2015; 7:25843-25850. [PMID: 26517005 DOI: 10.1021/acsami.5b08162] [Citation(s) in RCA: 11] [Impact Index Per Article: 1.2] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/05/2023]
Abstract
The rapid pattern formation of well-ordered block copolymer (BCP) nanostructures is practical for next-generation nanolithography applications. However, there remain critical hurdles to achieve the rapid self-assembly of BCPs with a high Flory-Huggins interaction parameter (χ), owing to their slow kinetics. In this article, we report that a binary solvent vapor annealing methodology can significantly accelerate the self-assembly kinetics of poly(dimethylsiloxane-b-styrene) (PDMS-b-PS) BCPs with a high-χ. In particular, we systemically analyzed the effects of the mixing ratio of a binary solvent composed of a PDMS-selective solvent (heptane) and a PS-selective solvent (toluene), showing an ultrafast self-assembly time (≤1 min) to obtain a well-ordered nanostructure. Moreover, we successfully accomplished extremely fast generation of sub-20 nm dot patterns within an annealing time of 10 s in a 300 nm-wide trench by means of binary solvent annealing. We believe that these results are also applicable to other solvent-based annealing systems of BCPs and that they will contribute to the realization of next-generation ultrafine lithography applications.
Collapse
Affiliation(s)
- Woon Ik Park
- Global Frontier R&D Center for Hybrid Interface Materials (HIM), Busandaehak-ro 63beon-gil, Geumjeong-gu, Busan 609-735, Republic of Korea
| | - Young Joong Choi
- School of Materials Science and Engineering, Pusan National University (PNU) , Busandaehak-ro 63beon-gil, Geumjeong-gu, Busan 609-735, Republic of Korea
| | - Je Moon Yun
- Global Frontier R&D Center for Hybrid Interface Materials (HIM), Busandaehak-ro 63beon-gil, Geumjeong-gu, Busan 609-735, Republic of Korea
| | - Suck Won Hong
- Department of Cogno-Mechatronics Engineering, Pusan National University (PNU) , Busandaehak-ro 63beon-gil, Geumjeong-gu, Busan 609-735, Republic of Korea
| | - Yeon Sik Jung
- Department of Materials Science and Engineering, Korea Advanced Institute of Science and Technology (KAIST) , 291 Daehak-ro, Yuseong-gu, Daejeon 305-701, Republic of Korea
| | - Kwang Ho Kim
- Global Frontier R&D Center for Hybrid Interface Materials (HIM), Busandaehak-ro 63beon-gil, Geumjeong-gu, Busan 609-735, Republic of Korea
- School of Materials Science and Engineering, Pusan National University (PNU) , Busandaehak-ro 63beon-gil, Geumjeong-gu, Busan 609-735, Republic of Korea
| |
Collapse
|
41
|
Wu YH, Lo TY, She MS, Ho RM. Morphological Evolution of Gyroid-Forming Block Copolymer Thin Films with Varying Solvent Evaporation Rate. ACS APPLIED MATERIALS & INTERFACES 2015; 7:16536-16547. [PMID: 26151809 DOI: 10.1021/acsami.5b03977] [Citation(s) in RCA: 12] [Impact Index Per Article: 1.3] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/04/2023]
Abstract
In this study, we aim to examine the morphological evolution of block copolymer (BCP) nanostructured thin films through solvent evaporation at different rates for solvent swollen polystyrene-block-poly(l-lactide) (PS-PLLA). Interesting phase transitions from disorder to perpendicular cylinder and then gyroid can be found while using a partially selective solvent for PS to swell PS-PLLA thin film followed by solvent evaporation. During the transitions, gyroid-forming BCP thin film with characteristic crystallographic planes of (111)G, (110)G, and (211)G parallel to air surface can be observed, and will gradually transform into coexisting (110)G and (211)G planes, and finally transforms to (211)G plane due to the preferential segregation of constituted block to the surface (i.e., the thermodynamic origin for self-assembly) that affects the relative amount of each component at the air surface. With the decrease on the evaporation rate, the disorder phase will transform to parallel cylinder and then directly to (211)G without transition to perpendicular cylinder phase. Most importantly, the morphological evolution of PS-PLLA thin films is strongly dependent upon the solvent removal rate only in the initial stage of the evaporation process due to the anisotropy of cylinder structure. Once the morphology is transformed back to the isotropic gyroid structure after long evaporation, the morphological evolution will only relate to the variation of the surface composition. Similar phase transitions at the substrate can also be obtained by controlling the ratio of PLLA-OH to PS-OH homopolymers to functionalize the substrate. As a result, the fabrication of well-defined nanostructured thin films with controlled orientation can be achieved by simple swelling and deswelling with controlled evaporation rate.
Collapse
Affiliation(s)
- Yi-Hsiu Wu
- Department of Chemical Engineering, National Tsing Hua University, Hsinchu 30013, Taiwan Republic of China
| | - Ting-Ya Lo
- Department of Chemical Engineering, National Tsing Hua University, Hsinchu 30013, Taiwan Republic of China
| | - Ming-Shiuan She
- Department of Chemical Engineering, National Tsing Hua University, Hsinchu 30013, Taiwan Republic of China
| | - Rong-Ming Ho
- Department of Chemical Engineering, National Tsing Hua University, Hsinchu 30013, Taiwan Republic of China
| |
Collapse
|
42
|
Dinachali SS, Bai W, Tu KH, Choi HK, Zhang J, Kreider ME, Cheng LC, Ross CA. Thermo-Solvent Annealing of Polystyrene-Polydimethylsiloxane Block Copolymer Thin Films. ACS Macro Lett 2015; 4:500-504. [PMID: 35596284 DOI: 10.1021/acsmacrolett.5b00108] [Citation(s) in RCA: 28] [Impact Index Per Article: 3.1] [Reference Citation Analysis] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/28/2022]
Abstract
A combined thermal and solvent vapor annealing process for block copolymer self-assembly is demonstrated. Films of cylinder-forming poly(styrene-b-dimethylsiloxane) (SD45, 45.5 kg/mol, fPDMS = 31%) were preheated for 2 min above the glass transition temperature of both blocks, followed by immediate introduction into a chamber containing room temperature saturated vapors of toluene and n-heptane. After quenching in air, microdomains had better order than those obtained from thermal or solvent annealing alone. The short time during which the film is both heated and exposed to solvent vapor played an important role in determining the final morphology.
Collapse
Affiliation(s)
- Saman Safari Dinachali
- Division
of Engineering Product Development, Singapore University of Technology and Design, 8 Somapah Road, Singapore 487372, Republic of Singapore
- Department
of Mechanical Engineering, National University of Singapore, 9 Engineering
Drive 1, Singapore 117576, Republic of Singapore
| | | | | | | | | | | | | | | |
Collapse
|
43
|
Qiang Z, Wadley ML, Vogt BD, Cavicchi KA. Facile non-lithographic route to highly aligned silica nanopatterns using unidirectionally aligned polystyrene-block
-polydimethylsiloxane films. ACTA ACUST UNITED AC 2015. [DOI: 10.1002/polb.23740] [Citation(s) in RCA: 11] [Impact Index Per Article: 1.2] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 12/25/2022]
Affiliation(s)
- Zhe Qiang
- Department of Polymer Engineering; University of Akron, 250 S Forge St.; Akron OH 44325 United States
| | - Maurice L. Wadley
- Department of Polymer Engineering; University of Akron, 250 S Forge St.; Akron OH 44325 United States
| | - Bryan D. Vogt
- Department of Polymer Engineering; University of Akron, 250 S Forge St.; Akron OH 44325 United States
| | - Kevin A. Cavicchi
- Department of Polymer Engineering; University of Akron, 250 S Forge St.; Akron OH 44325 United States
| |
Collapse
|
44
|
Xu X, He Z, Wang Q, Chen F, Fu Q. Self-Assembly of PS-b-PDMS on a Tunable PDMS Template with Nanoscale Channels and Enhanced Anisotropic Wetting. LANGMUIR : THE ACS JOURNAL OF SURFACES AND COLLOIDS 2015; 31:4605-4611. [PMID: 25844896 DOI: 10.1021/acs.langmuir.5b00340] [Citation(s) in RCA: 2] [Impact Index Per Article: 0.2] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/04/2023]
Abstract
In this article, we systematically studied the self-assembly of poly(styrene-block-dimethylsiloxane) (PS-b-PDMS) on a poly(dimethylsiloxane) (PDMS) substrate with nanoscale channels. The channeled PDMS substrate was achieved by a simple replica molding method. To decrease the effect that the subsequent solvent treatments had in distorting the soft PDMS substrate, a simple UV/O3 treatment was provided before the self-assembly, resulting in a relatively stable, harder and hydrophilic silicon oxide (SiO2) layer on the channeled PDMS surface. Ultimately, the isotropic SiO2 nanopatterns with spherical and long cylindrical morphologies were successfully fabricated by the self-assembly of two kinds of PS-b-PDMS on the PDMS substrate with nanoscale channels, respectively. In particular, we demonstrated that the introduction of isotropic SiO2 patterns is an effective approach to greatly enhance anisotropic wetting rather than that of the anisotropic structure with channels.
Collapse
|
45
|
Block Co-Polymers for Nanolithography: Rapid Microwave Annealing for Pattern Formation on Substrates. Polymers (Basel) 2015. [DOI: 10.3390/polym7040592] [Citation(s) in RCA: 3] [Impact Index Per Article: 0.3] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 01/02/2023] Open
|
46
|
Cummins C, Kelly RA, Gangnaik A, Georgiev YM, Petkov N, Holmes JD, Morris MA. Solvent vapor annealing of block copolymers in confined topographies: commensurability considerations for nanolithography. Macromol Rapid Commun 2015; 36:762-7. [PMID: 25704307 DOI: 10.1002/marc.201400722] [Citation(s) in RCA: 18] [Impact Index Per Article: 2.0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Received: 12/16/2014] [Revised: 01/15/2015] [Indexed: 11/10/2022]
Abstract
The directed self-assembly of block copolymer (BCP) materials in topographically patterned substrates (i.e., graphoepitaxy) is a potential methodology for the continued scaling of nanoelectronic device technologies. In this Communication, an unusual feature size variation in BCP nanodomains under confinement with graphoepitaxially aligned cylinder-forming poly(styrene)-block-poly(4-vinylpyridine) (PS-b-P4VP) BCP is reported. Graphoepitaxy of PS-b-P4VP BCP line patterns (CII ) is accomplished via topo-graphy in hydrogen silsequioxane (HSQ) modified substrates and solvent vapor annealing (SVA). Interestingly, reduced domain sizes in features close to the HSQ guiding features are observed. The feature size reduction is evident after inclusion of alumina into the P4VP domains followed by pattern transfer to the silicon substrate. It is suggested that this nano-domain size perturbation is due to solvent swelling effects during SVA. It is proposed that using a commensurability value close to the solvent vapor annealed periodicity will alleviate this issue leading to uniform nanofins.
Collapse
Affiliation(s)
- Cian Cummins
- Materials Research Group, Department of Chemistry and Tyndall National Institute, University College Cork, Cork, Ireland
| | | | | | | | | | | | | |
Collapse
|
47
|
Park WI, Tong S, Liu Y, Jung IW, Roelofs A, Hong S. Tunable and rapid self-assembly of block copolymers using mixed solvent vapors. NANOSCALE 2014; 6:15216-15221. [PMID: 25380519 DOI: 10.1039/c4nr04726e] [Citation(s) in RCA: 13] [Impact Index Per Article: 1.3] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/04/2023]
Abstract
Pattern generation of well-controlled block copolymers (BCPs) with a high Flory-Huggins interaction parameter (χ) is important for applications in sub-20 nm nanolithography. We used mixed solvents of dimethylformamide (DMF) and toluene to control the morphology as well as the time to achieve the targeted morphology via self-assembly of BCPs. By precisely controlling the volume ratio of DMF and toluene, well-ordered line, honeycomb, circular hole, and lamellar nanostructures were obtained from a cylinder-forming poly(styrene-b-2-vinylpyridine) (PS-b-P2VP) BCP with high χ. Furthermore, a well-aligned 12 nm line pattern was successfully achieved in the guiding template within one minute using the mixed solvents. This practical method may also be applicable to self-assembly of other BCPs, providing more opportunities for the next-generation sub-10 nm lithography applications.
Collapse
Affiliation(s)
- Woon Ik Park
- Materials Science Division, Argonne National Laboratory, Lemont, IL 60439, USA.
| | | | | | | | | | | |
Collapse
|
48
|
Mokarian-Tabari P, Cummins C, Rasappa S, Simao C, Sotomayor Torres CM, Holmes JD, Morris MA. Study of the kinetics and mechanism of rapid self-assembly in block copolymer thin films during solvo-microwave annealing. LANGMUIR : THE ACS JOURNAL OF SURFACES AND COLLOIDS 2014; 30:10728-10739. [PMID: 25137566 DOI: 10.1021/la503137q] [Citation(s) in RCA: 16] [Impact Index Per Article: 1.6] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/03/2023]
Abstract
Microwave annealing is an emerging technique for achieving ordered patterns of block copolymer films on substrates. Little is understood about the mechanisms of microphase separation during the microwave annealing process and how it promotes the microphase separation of the blocks. Here, we use controlled power microwave irradiation in the presence of tetrahydrofuran (THF) solvent, to achieve lateral microphase separation in high-χ lamellar-forming poly(styrene-b-lactic acid) PS-b-PLA. A highly ordered line pattern was formed within seconds on silicon, germanium and silicon on insulator (SOI) substrates. In-situ temperature measurement of the silicon substrate coupled to condition changes during "solvo-microwave" annealing allowed understanding of the processes to be attained. Our results suggest that the substrate has little effect on the ordering process and is essentially microwave transparent but rather, it is direct heating of the polar THF molecules that causes microphase separation. It is postulated that the rapid interaction of THF with microwaves and the resultant temperature increase to 55 °C within seconds causes an increase of the vapor pressure of the solvent from 19.8 to 70 kPa. This enriched vapor environment increases the plasticity of both PS and PLA chains and leads to the fast self-assembly kinetics. Comparing the patterns formed on silicon, germanium and silicon on insulator (SOI) and also an in situ temperature measurement of silicon in the oven confirms the significance of the solvent over the role of substrate heating during "solvo-microwave" annealing. Besides the short annealing time which has technological importance, the coherence length is on a micron scale and dewetting is not observed after annealing. The etched pattern (PLA was removed by an Ar/O2 reactive ion etch) was transferred to the underlying silicon substrate fabricating sub-20 nm silicon nanowires over large areas demonstrating that the morphology is consistent both across and through the film.
Collapse
|
49
|
Bai W, Hannon AF, Gotrik KW, Choi HK, Aissou K, Liontos G, Ntetsikas K, Alexander-Katz A, Avgeropoulos A, Ross CA. Thin Film Morphologies of Bulk-Gyroid Polystyrene-block-polydimethylsiloxane under Solvent Vapor Annealing. Macromolecules 2014. [DOI: 10.1021/ma501293n] [Citation(s) in RCA: 56] [Impact Index Per Article: 5.6] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 01/24/2023]
Affiliation(s)
- Wubin Bai
- Department
of Materials Science and Engineering, Massachusetts Institute of Technology, 77 Massachusetts Avenue, Cambridge, Massachusetts 02139, United States
| | - Adam F. Hannon
- Department
of Materials Science and Engineering, Massachusetts Institute of Technology, 77 Massachusetts Avenue, Cambridge, Massachusetts 02139, United States
| | - Kevin W. Gotrik
- Department
of Materials Science and Engineering, Massachusetts Institute of Technology, 77 Massachusetts Avenue, Cambridge, Massachusetts 02139, United States
| | - Hong Kyoon Choi
- Department
of Materials Science and Engineering, Massachusetts Institute of Technology, 77 Massachusetts Avenue, Cambridge, Massachusetts 02139, United States
| | - Karim Aissou
- Department
of Materials Science and Engineering, Massachusetts Institute of Technology, 77 Massachusetts Avenue, Cambridge, Massachusetts 02139, United States
| | - George Liontos
- Department
of Materials Science Engineering, University of Ioannina, University Campus - Dourouti, 45110 Ioannina, Greece
| | - Konstantinos Ntetsikas
- Department
of Materials Science Engineering, University of Ioannina, University Campus - Dourouti, 45110 Ioannina, Greece
| | - Alfredo Alexander-Katz
- Department
of Materials Science and Engineering, Massachusetts Institute of Technology, 77 Massachusetts Avenue, Cambridge, Massachusetts 02139, United States
| | - Apostolos Avgeropoulos
- Department
of Materials Science Engineering, University of Ioannina, University Campus - Dourouti, 45110 Ioannina, Greece
| | - Caroline A. Ross
- Department
of Materials Science and Engineering, Massachusetts Institute of Technology, 77 Massachusetts Avenue, Cambridge, Massachusetts 02139, United States
| |
Collapse
|
50
|
Hu H, Singer JP, Osuji CO. Morphology Development in Thin Films of a Lamellar Block Copolymer Deposited by Electrospray. Macromolecules 2014. [DOI: 10.1021/ma500376n] [Citation(s) in RCA: 24] [Impact Index Per Article: 2.4] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 01/20/2023]
Affiliation(s)
- Hanqiong Hu
- Department
of Chemical Engineering, Yale University, New Haven, Connecticut 06511, United States
| | - Jonathan P. Singer
- Department
of Chemical Engineering, Yale University, New Haven, Connecticut 06511, United States
| | - Chinedum O. Osuji
- Department
of Chemical Engineering, Yale University, New Haven, Connecticut 06511, United States
| |
Collapse
|