1
|
Dong Y, Abbasi M, Meng J, German L, Carlos C, Li J, Zhang Z, Morgan D, Hwang J, Wang X. Substantial lifetime enhancement for Si-based photoanodes enabled by amorphous TiO 2 coating with improved stoichiometry. Nat Commun 2023; 14:1865. [PMID: 37015923 PMCID: PMC10073107 DOI: 10.1038/s41467-023-37154-z] [Citation(s) in RCA: 3] [Impact Index Per Article: 3.0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Received: 10/18/2022] [Accepted: 03/03/2023] [Indexed: 04/06/2023] Open
Abstract
Amorphous titanium dioxide (TiO2) film coating by atomic layer deposition (ALD) is a promising strategy to extend the photoelectrode lifetime to meet the industrial standard for solar fuel generation. To realize this promise, the essential structure-property relationship that dictates the protection lifetime needs to be uncovered. In this work, we reveal that in addition to the imbedded crystalline phase, the presence of residual chlorine (Cl) ligands is detrimental to the silicon (Si) photoanode lifetime. We further demonstrate that post-ALD in-situ water treatment can effectively decouple the ALD reaction completeness from crystallization. The as-processed TiO2 film has a much lower residual Cl concentration and thus an improved film stoichiometry, while its uniform amorphous phase is well preserved. As a result, the protected Si photoanode exhibits a substantially improved lifetime to ~600 h at a photocurrent density of more than 30 mA/cm2. This study demonstrates a significant advancement toward sustainable hydrogen generation.
Collapse
Affiliation(s)
- Yutao Dong
- Department of Materials Science and Engineering, University of Wisconsin-Madison, Madison, WI, 53706, USA
| | - Mehrdad Abbasi
- Department of Materials Science and Engineering, The Ohio State University, Columbus, OH, 43210, USA
| | - Jun Meng
- Department of Materials Science and Engineering, University of Wisconsin-Madison, Madison, WI, 53706, USA
| | - Lazarus German
- Department of Materials Science and Engineering, University of Wisconsin-Madison, Madison, WI, 53706, USA
| | - Corey Carlos
- Department of Materials Science and Engineering, University of Wisconsin-Madison, Madison, WI, 53706, USA
| | - Jun Li
- Department of Materials Science and Engineering, University of Wisconsin-Madison, Madison, WI, 53706, USA
| | - Ziyi Zhang
- Department of Materials Science and Engineering, University of Wisconsin-Madison, Madison, WI, 53706, USA
| | - Dane Morgan
- Department of Materials Science and Engineering, University of Wisconsin-Madison, Madison, WI, 53706, USA
| | - Jinwoo Hwang
- Department of Materials Science and Engineering, The Ohio State University, Columbus, OH, 43210, USA
| | - Xudong Wang
- Department of Materials Science and Engineering, University of Wisconsin-Madison, Madison, WI, 53706, USA.
| |
Collapse
|
2
|
Dyrvik EG, Warby JH, McCarthy MM, Ramadan AJ, Zaininger KA, Lauritzen AE, Mahesh S, Taylor RA, Snaith HJ. Reducing Nonradiative Losses in Perovskite LEDs through Atomic Layer Deposition of Al 2O 3 on the Hole-Injection Contact. ACS NANO 2023; 17:3289-3300. [PMID: 36790329 PMCID: PMC9979650 DOI: 10.1021/acsnano.2c04786] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Figures] [Subscribe] [Scholar Register] [Received: 05/16/2022] [Accepted: 02/10/2023] [Indexed: 06/18/2023]
Abstract
Halide perovskite light-emitting diodes (PeLEDs) exhibit great potential for use in next-generation display technologies. However, scale-up will be challenging due to the requirement of very thin transport layers for high efficiencies, which often present spatial inhomogeneities from improper wetting and drying during solution processing. Here, we show how a thin Al2O3 layer grown by atomic layer deposition can be used to preferentially cover regions of imperfect hole transport layer deposition and form an intermixed composite with the organic transport layer, allowing hole conduction and injection to persist through the organic hole transporter. This has the dual effect of reducing nonradiative recombination at the heterojunction and improving carrier selectivity, which we infer to be due to the inhibition of direct contact between the indium tin oxide and perovskite layers. We observe an immediate improvement in electroluminescent external quantum efficiency in our p-i-n LEDs from an average of 9.8% to 13.5%, with a champion efficiency of 15.0%. The technique uses industrially available equipment and can readily be scaled up to larger areas and incorporated in other applications such as thin-film photovoltaic cells.
Collapse
Affiliation(s)
- Emil G. Dyrvik
- Clarendon
Laboratory, Department of Physics, University
of Oxford, Parks Road, Oxford, OX1
3PU, U.K.
| | - Jonathan H. Warby
- Clarendon
Laboratory, Department of Physics, University
of Oxford, Parks Road, Oxford, OX1
3PU, U.K.
| | - Melissa M. McCarthy
- Clarendon
Laboratory, Department of Physics, University
of Oxford, Parks Road, Oxford, OX1
3PU, U.K.
| | - Alexandra J. Ramadan
- Clarendon
Laboratory, Department of Physics, University
of Oxford, Parks Road, Oxford, OX1
3PU, U.K.
| | - Karl-Augustin Zaininger
- Clarendon
Laboratory, Department of Physics, University
of Oxford, Parks Road, Oxford, OX1
3PU, U.K.
| | - Andreas E. Lauritzen
- Clarendon
Laboratory, Department of Physics, University
of Oxford, Parks Road, Oxford, OX1
3PU, U.K.
| | - Suhas Mahesh
- Clarendon
Laboratory, Department of Physics, University
of Oxford, Parks Road, Oxford, OX1
3PU, U.K.
| | - Robert A. Taylor
- Clarendon
Laboratory, Department of Physics, University
of Oxford, Parks Road, Oxford, OX1
3PU, U.K.
| | - Henry J. Snaith
- Clarendon
Laboratory, Department of Physics, University
of Oxford, Parks Road, Oxford, OX1
3PU, U.K.
| |
Collapse
|
3
|
Yun S, Ou F, Wang H, Tom M, Orkoulas G, Christofides PD. Atomistic-mesoscopic modeling of area-selective thermal atomic layer deposition. Chem Eng Res Des 2022. [DOI: 10.1016/j.cherd.2022.09.051] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/29/2022]
|
4
|
Lovikka VA, Airola K, McGuinness E, Zhang C, Vehkamäki M, Kemell M, Losego M, Ritala M, Leskelä M. Toposelective vapor deposition of hybrid and inorganic materials inside nanocavities by polymeric templating and vapor phase infiltration. NANOSCALE ADVANCES 2022; 4:4102-4113. [PMID: 36285221 PMCID: PMC9514560 DOI: 10.1039/d2na00291d] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Grants] [Track Full Text] [Figures] [Subscribe] [Scholar Register] [Received: 05/08/2022] [Accepted: 08/02/2022] [Indexed: 06/16/2023]
Abstract
Selective deposition of hybrid and inorganic materials inside nanostructures could enable major nanotechnological advances. However, inserting ready-made composites inside nanocavities may be difficult, and therefore, stepwise approaches are needed. In this paper, a poly(ethyl acrylate) template is grown selectively inside cavities via condensation-controlled toposelective vapor deposition, and the polymer is then hybridized by alumina, titania, or zinc oxide. The hybridization is carried out by infiltrating the polymer with a vapor-phase metalorganic precursor and water vapor either via a short-pulse (atomic layer deposition, ALD) or a long-pulse (vapor phase infiltration, VPI) sequence. When the polymer-MO x hybrid material is calcined at 450 °C in air, an inorganic phase is left as the residue. Various suspected confinement effects are discussed. The infiltration of inorganic materials is reduced in deeper layers of the cavity-grown polymer and is dependent on the cavity geometry. The structure of the inorganic deposition after calcination varies from scattered particles and their aggregates to cavity-capping films or cavity-filling low-density porous deposition, and the inorganic deposition is often anisotropically cracked. A large part of the infiltration is achieved already during the short-pulse experiments with a commercial ALD reactor. Furthermore, the infiltrated polymer is more resistant to dissolution in acetone whereas the inorganic component can still be heavily affected by phosphoric acid.
Collapse
Affiliation(s)
- Ville A Lovikka
- Department of Chemistry, University of Helsinki A.I. Virtasen Aukio 1, P.O. Box 55 FI-00014 Helsinki Finland
| | - Konsta Airola
- Department of Chemistry, University of Helsinki A.I. Virtasen Aukio 1, P.O. Box 55 FI-00014 Helsinki Finland
| | - Emily McGuinness
- School of Materials Science and Engineering, Georgia Institute of Technology Atlanta Georgia 30332 USA
| | - Chao Zhang
- Department of Chemistry, University of Helsinki A.I. Virtasen Aukio 1, P.O. Box 55 FI-00014 Helsinki Finland
| | - Marko Vehkamäki
- Department of Chemistry, University of Helsinki A.I. Virtasen Aukio 1, P.O. Box 55 FI-00014 Helsinki Finland
| | - Marianna Kemell
- Department of Chemistry, University of Helsinki A.I. Virtasen Aukio 1, P.O. Box 55 FI-00014 Helsinki Finland
| | - Mark Losego
- School of Materials Science and Engineering, Georgia Institute of Technology Atlanta Georgia 30332 USA
| | - Mikko Ritala
- Department of Chemistry, University of Helsinki A.I. Virtasen Aukio 1, P.O. Box 55 FI-00014 Helsinki Finland
| | - Markku Leskelä
- Department of Chemistry, University of Helsinki A.I. Virtasen Aukio 1, P.O. Box 55 FI-00014 Helsinki Finland
| |
Collapse
|
5
|
Rorem BA, Cho TH, Farjam N, Lenef JD, Barton K, Dasgupta NP, Guo LJ. Integrating Structural Colors with Additive Manufacturing Using Atomic Layer Deposition. ACS APPLIED MATERIALS & INTERFACES 2022; 14:31099-31108. [PMID: 35786830 DOI: 10.1021/acsami.2c05940] [Citation(s) in RCA: 4] [Impact Index Per Article: 2.0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/15/2023]
Abstract
We demonstrate tunable structural color patterns that span the visible spectrum using atomic layer deposition (ALD). Asymmetric metal-dielectric-metal structures were sequentially deposited with nickel, zinc oxide, and a thin copper layer to form an optical cavity. The color response was precisely adjusted by tuning the zinc oxide (ZnO) thickness using ALD, which was consistent with model predictions. Owing to the conformal nature of ALD, this allows for uniform and tunable coloration of non-planar three-dimensional (3D) objects, as exemplified by adding color to 3D-printed parts produced by metal additive manufacturing. Proper choice of inorganic layered structures and materials allows the structural color to be stable at elevated temperatures, in contrast to traditional paints. To print multiple colors on a single sample, polymer inhibitors were patterned in a desired geometry using electrohydrodynamic jet (e-jet) printing, followed by area-selective ALD in the unpassivated regions. The ability to achieve 3D color printing, both at the micro- and macroscales, provides a new pathway to tune the optical and aesthetic properties during additive manufacturing.
Collapse
Affiliation(s)
- Benjamin A Rorem
- Deparment of Applied Physics, University of Michigan, Ann Arbor, Michigan 48109, United States
| | - Tae H Cho
- Department of Mechanical Engineering, University of Michigan, Ann Arbor, Michigan 48109, United States
| | - Nazanin Farjam
- Department of Mechanical Engineering, University of Michigan, Ann Arbor, Michigan 48109, United States
| | - Julia D Lenef
- Department of Materials Science & Engineering, University of Michigan, Ann Arbor, Michigan 48109, United States
| | - Kira Barton
- Department of Mechanical Engineering, University of Michigan, Ann Arbor, Michigan 48109, United States
| | - Neil P Dasgupta
- Department of Mechanical Engineering, University of Michigan, Ann Arbor, Michigan 48109, United States
- Department of Materials Science & Engineering, University of Michigan, Ann Arbor, Michigan 48109, United States
| | - L Jay Guo
- Deparment of Applied Physics, University of Michigan, Ann Arbor, Michigan 48109, United States
- Department of Mechanical Engineering, University of Michigan, Ann Arbor, Michigan 48109, United States
- Department of Electrical Engineering and Computer Science, University of Michigan, Ann Arbor, Michigan 48109, United States
| |
Collapse
|
6
|
Merkx MJ, Angelidis A, Mameli A, Li J, Lemaire PC, Sharma K, Hausmann DM, Kessels WMM, Sandoval TE, Mackus AJM. Relation between Reactive Surface Sites and Precursor Choice for Area-Selective Atomic Layer Deposition Using Small Molecule Inhibitors. THE JOURNAL OF PHYSICAL CHEMISTRY. C, NANOMATERIALS AND INTERFACES 2022; 126:4845-4853. [PMID: 35330759 PMCID: PMC8935369 DOI: 10.1021/acs.jpcc.1c10816] [Citation(s) in RCA: 3] [Impact Index Per Article: 1.5] [Reference Citation Analysis] [Abstract] [Grants] [Track Full Text] [Figures] [Subscribe] [Scholar Register] [Received: 12/23/2021] [Revised: 02/15/2022] [Indexed: 06/14/2023]
Abstract
Implementation of vapor/phase dosing of small molecule inhibitors (SMIs) in advanced atomic layer deposition (ALD) cycles is currently being considered for bottom-up fabrication by area-selective ALD. When SMIs are used, it can be challenging to completely block precursor adsorption due to the inhibitor size and the relatively short vapor/phase exposures. Two strategies for precursor blocking are explored: (i) physically covering precursor adsorption sites, i.e., steric shielding, and (ii) eliminating precursor adsorption sites from the surface, i.e., chemical passivation. In this work, it is determined whether steric shielding is enough for effective precursor blocking during area-selective ALD or whether chemical passivation is required as well. At the same time, we address why some ALD precursors are more difficult to block than others. To this end, the blocking of the Al precursor molecules trimethylaluminum (TMA), dimethylaluminum isopropoxide (DMAI), and tris(dimethylamino)aluminum (TDMAA) was studied by using acetylacetone (Hacac) as inhibitor. It was found that DMAI and TDMAA are more easily blocked than TMA because they adsorb on the same surface sites as Hacac, while TMA is also reactive with other surface sites. This work shows that chemical passivation plays a crucial role for precursor blocking in concert with steric shielding. Moreover, the reactivity of the precursor with the surface groups on the non-growth area dictates the effectiveness of blocking precursor adsorption.
Collapse
Affiliation(s)
- Marc J.
M. Merkx
- Department
of Applied Physics, Eindhoven University
of Technology, 5600MB Eindhoven, The Netherlands
| | - Athanasios Angelidis
- Department
of Applied Physics, Eindhoven University
of Technology, 5600MB Eindhoven, The Netherlands
| | | | - Jun Li
- Department
of Applied Physics, Eindhoven University
of Technology, 5600MB Eindhoven, The Netherlands
| | - Paul C. Lemaire
- Lam
Research Corporation, Tualatin, Oregon 97062, United States
| | - Kashish Sharma
- Lam
Research Corporation, Tualatin, Oregon 97062, United States
| | | | - Wilhelmus M. M. Kessels
- Department
of Applied Physics, Eindhoven University
of Technology, 5600MB Eindhoven, The Netherlands
| | - Tania E. Sandoval
- Department
of Chemical and Environmental Engineering, Universidad Técnica Federico Santa María, 8940000 Santiago, Chile
| | - Adriaan J. M. Mackus
- Department
of Applied Physics, Eindhoven University
of Technology, 5600MB Eindhoven, The Netherlands
| |
Collapse
|
7
|
Prasadam VP, Huerta Flores AM, Bahlawane N. CNT-TiO 2 core-shell structure: synthesis and photoelectrochemical characterization. RSC Adv 2021; 11:33169-33178. [PMID: 35493557 PMCID: PMC9042234 DOI: 10.1039/d1ra05723e] [Citation(s) in RCA: 2] [Impact Index Per Article: 0.7] [Reference Citation Analysis] [Abstract] [Track Full Text] [Download PDF] [Figures] [Journal Information] [Subscribe] [Scholar Register] [Received: 07/27/2021] [Accepted: 10/03/2021] [Indexed: 01/24/2023] Open
Abstract
Porous composite coatings, made of a carbon nanotube (CNT)–TiO2 core–shell structure, were synthesized by the hybrid CVD-ALD process. The resulting TiO2 shell features an anatase crystalline structure that covers uniformly the surface of the CNTs. These composite coatings were investigated as photoanodes for the photo-electrochemical (PEC) water splitting reaction. The CNT–TiO2 core–shell configuration outperforms the bare TiO2 films obtained using the same process regardless of the deposited anatase thickness. The improvement factor, exceeding 400% in photocurrent featuring a core–shell structure, was attributed to the enhancement of the interface area with the electrolyte and the electrons fast withdrawal. The estimation of the photo-electrochemically effective surface area reveals that the strong absorption properties of CNT severely limit the light penetration depth in the CNT–TiO2 system. CNT–TiO2 core–shell nanostructured coatings were made using a hybrid CVD/ALD process. The evaluation of these films as photoanodes for the photoelectrochemical water splitting reaction reveals a clear benefit from the involvement of CNTs.![]()
Collapse
Affiliation(s)
- Vasu Prasad Prasadam
- Material Research and Technology Department, Luxembourg Institute of Science and Technology Rue du Brill L-4422 Belvaux Luxembourg
| | - Ali Margot Huerta Flores
- Material Research and Technology Department, Luxembourg Institute of Science and Technology Rue du Brill L-4422 Belvaux Luxembourg
| | - Naoufal Bahlawane
- Material Research and Technology Department, Luxembourg Institute of Science and Technology Rue du Brill L-4422 Belvaux Luxembourg
| |
Collapse
|
8
|
Krishtab M, Armini S, Meersschaut J, De Gendt S, Ameloot R. Cyclic Plasma Halogenation of Amorphous Carbon for Defect-Free Area-Selective Atomic Layer Deposition of Titanium Oxide. ACS APPLIED MATERIALS & INTERFACES 2021; 13:32381-32392. [PMID: 34160190 DOI: 10.1021/acsami.1c04405] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.3] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/13/2023]
Abstract
As critical dimensions in integrated circuits continue to shrink, the lithography-based alignment of adjacent patterned layers becomes more challenging. Area-selective atomic layer deposition (ALD) allows circumventing the alignment issue by exploiting the chemical contrast of the exposed surfaces. In this work, we investigate the selective deposition of TiO2 by plasma halogenation of amorphous carbon (a-C:H) acting as a growth-inhibiting layer. On a-C:H, a CF4 or Cl2 plasma forms a thin halogenated layer that suppresses the growth of TiO2, while nucleation remains unaffected on plasma-treated SiO2. The same halogenating plasmas preferentially etch TiO2 nuclei over films and thus enable the restoration of the halogenated surface of amorphous carbon. By embedding the intermediate plasma treatments in the ALD TiO2 sequence, an 8 nm TiO2 layer could be deposited with a selectivity of 0.998. The application of the cyclic process on a 60 nm half-pitch line pattern resulted in the defect-free deposition of TiO2 at the bottom of the trenches. Cyclic fluorination demonstrated better growth inhibition compared to chlorination due to more efficient defect removal and retention of the favorable surface composition during plasma exposure. While exploring the TiO2 nucleation defects at the limit of detection for conventional elemental analysis techniques (<1 × 1014 at/cm2), we additionally highlight the value of imaging techniques such as atomic force microscopy for understanding defect formation mechanisms and accurately assessing growth selectivity.
Collapse
Affiliation(s)
- Mikhail Krishtab
- cMACS, KU Leuven, Celestijnenlaan 200F, 3001 Leuven, Belgium
- imec, Kapeldreef 75, 3001 Leuven, Belgium
| | | | | | - Stefan De Gendt
- imec, Kapeldreef 75, 3001 Leuven, Belgium
- Department of Chemistry, KU Leuven, Celestijnenlaan 200F, 3001 Leuven, Belgium
| | - Rob Ameloot
- cMACS, KU Leuven, Celestijnenlaan 200F, 3001 Leuven, Belgium
| |
Collapse
|
9
|
Fonseca J, Lu J. Single-Atom Catalysts Designed and Prepared by the Atomic Layer Deposition Technique. ACS Catal 2021. [DOI: 10.1021/acscatal.1c01200] [Citation(s) in RCA: 40] [Impact Index Per Article: 13.3] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 12/29/2022]
Affiliation(s)
- Javier Fonseca
- Nanomaterial Laboratory for Catalysis and Advanced Separations, Department of Chemical Engineering, Northeastern University, 313 Snell Engineering Center, 360 Huntington Avenue, Boston, Massachusetts 02115-5000, United States
| | - Junling Lu
- Department of Chemical Physics, Hefei National Laboratory for Physical Sciences at the Microscale, iChEM, University of Science and Technology of China, Hefei, Anhui 230026, China
| |
Collapse
|
10
|
Klement P, Anders D, Gümbel L, Bastianello M, Michel F, Schörmann J, Elm MT, Heiliger C, Chatterjee S. Surface Diffusion Control Enables Tailored-Aspect-Ratio Nanostructures in Area-Selective Atomic Layer Deposition. ACS APPLIED MATERIALS & INTERFACES 2021; 13:19398-19405. [PMID: 33856210 DOI: 10.1021/acsami.0c22121] [Citation(s) in RCA: 4] [Impact Index Per Article: 1.3] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/12/2023]
Abstract
Area-selective atomic layer deposition is a key technology for modern microelectronics as it eliminates alignment errors inherent to conventional approaches by enabling material deposition only in specific areas. Typically, the selectivity originates from surface modifications of the substrate that allow or block precursor adsorption. The control of the deposition process currently remains a major challenge as the selectivity of the no-growth areas is lost quickly. Here, we show that surface modifications of the substrate strongly manipulate surface diffusion. The selective deposition of TiO2 on poly(methyl methacrylate) and SiO2 yields localized nanostructures with tailored aspect ratios. Controlling the surface diffusion allows tuning such nanostructures as it boosts the growth rate at the interface of the growth and no-growth areas. Kinetic Monte-Carlo calculations reveal that species move from high to low diffusion areas. Further, we identify the catalytic activity of TiCl4 during the formation of carboxylic acid on poly(methyl methacrylate) as the reaction mechanism responsible for the loss of selectivity and show that process optimization leads to higher selectivity. Our work enables the precise control of area-selective atomic layer deposition on the nanoscale and offers new strategies in area-selective deposition processes by exploiting surface diffusion effects.
Collapse
Affiliation(s)
- Philip Klement
- Institute of Experimental Physics I and Center for Materials Research (ZfM/LaMa), Justus Liebig University Giessen, Heinrich-Buff-Ring 16, Giessen D-35392, Germany
| | - Daniel Anders
- Institute of Experimental Physics I and Center for Materials Research (ZfM/LaMa), Justus Liebig University Giessen, Heinrich-Buff-Ring 16, Giessen D-35392, Germany
| | - Lukas Gümbel
- Institute of Experimental Physics I and Center for Materials Research (ZfM/LaMa), Justus Liebig University Giessen, Heinrich-Buff-Ring 16, Giessen D-35392, Germany
| | - Michele Bastianello
- Institute of Experimental Physics I and Center for Materials Research (ZfM/LaMa), Justus Liebig University Giessen, Heinrich-Buff-Ring 16, Giessen D-35392, Germany
| | - Fabian Michel
- Institute of Experimental Physics I and Center for Materials Research (ZfM/LaMa), Justus Liebig University Giessen, Heinrich-Buff-Ring 16, Giessen D-35392, Germany
| | - Jörg Schörmann
- Institute of Experimental Physics I and Center for Materials Research (ZfM/LaMa), Justus Liebig University Giessen, Heinrich-Buff-Ring 16, Giessen D-35392, Germany
| | - Matthias T Elm
- Institute of Experimental Physics I and Center for Materials Research (ZfM/LaMa), Justus Liebig University Giessen, Heinrich-Buff-Ring 16, Giessen D-35392, Germany
- Institute of Physical Chemistry, Justus Liebig University Giessen, Heinrich-Buff-Ring 17, Giessen D-35392, Germany
| | - Christian Heiliger
- Institute of Theoretical Physics and Center for Materials Research (ZfM/LaMa), Justus Liebig University Giessen, Heinrich-Buff-Ring 16, Giessen D-35392, Germany
| | - Sangam Chatterjee
- Institute of Experimental Physics I and Center for Materials Research (ZfM/LaMa), Justus Liebig University Giessen, Heinrich-Buff-Ring 16, Giessen D-35392, Germany
| |
Collapse
|
11
|
Forte MA, Silva RM, Tavares CJ, Silva RFE. Is Poly(methyl methacrylate) (PMMA) a Suitable Substrate for ALD?: A Review. Polymers (Basel) 2021; 13:1346. [PMID: 33924112 PMCID: PMC8074321 DOI: 10.3390/polym13081346] [Citation(s) in RCA: 8] [Impact Index Per Article: 2.7] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Download PDF] [Figures] [Journal Information] [Subscribe] [Scholar Register] [Received: 04/01/2021] [Revised: 04/16/2021] [Accepted: 04/16/2021] [Indexed: 12/12/2022] Open
Abstract
Poly (methyl methacrylate) (PMMA) is a thermoplastic synthetic polymer, which displays superior characteristics such as transparency, good tensile strength, and processability. Its performance can be improved by surface engineering via the use of functionalized thin film coatings, resulting in its versatility across a host of applications including, energy harvesting, dielectric layers and water purification. Modification of the PMMA surface can be achieved by atomic layer deposition (ALD), a vapor-phase, chemical deposition technique, which permits atomic-level control. However, PMMA presents a challenge for ALD due to its lack of active surface sites, necessary for gas precursor reaction, nucleation, and subsequent growth. The purpose of this review is to discuss the research related to the employment of PMMA as either a substrate, support, or masking layer over a range of ALD thin film growth techniques, namely, thermal, plasma-enhanced, and area-selective atomic layer deposition. It also highlights applications in the selected fields of flexible electronics, biomaterials, sensing, and photocatalysis, and underscores relevant characterization techniques. Further, it concludes with a prospective view of the role of ALD in PMMA processing.
Collapse
Affiliation(s)
- Marta Adriana Forte
- CF-UM-UP, Centre of Physics of Minho and Porto Universities, Campus of Azurém, University of Minho, 4800-058 Guimarães, Portugal; (M.A.F.); (C.J.T.)
| | - Ricardo Manuel Silva
- CICECO, Department of Materials and Ceramic Engineering, University of Aveiro, 3810-193 Aveiro, Portugal;
| | - Carlos José Tavares
- CF-UM-UP, Centre of Physics of Minho and Porto Universities, Campus of Azurém, University of Minho, 4800-058 Guimarães, Portugal; (M.A.F.); (C.J.T.)
| | - Rui Ferreira e Silva
- CICECO, Department of Materials and Ceramic Engineering, University of Aveiro, 3810-193 Aveiro, Portugal;
| |
Collapse
|
12
|
Cho TH, Farjam N, Allemang CR, Pannier CP, Kazyak E, Huber C, Rose M, Trejo O, Peterson RL, Barton K, Dasgupta NP. Area-Selective Atomic Layer Deposition Patterned by Electrohydrodynamic Jet Printing for Additive Manufacturing of Functional Materials and Devices. ACS NANO 2020; 14:17262-17272. [PMID: 33216539 DOI: 10.1021/acsnano.0c07297] [Citation(s) in RCA: 8] [Impact Index Per Article: 2.0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/11/2023]
Abstract
There is an increasing interest in additive nanomanufacturing processes, which enable customizable patterning of functional materials and devices on a wide range of substrates. However, there are relatively few techniques with the ability to directly 3D print patterns of functional materials with sub-micron resolution. In this study, we demonstrate the use of additive electrohydrodynamic jet (e-jet) printing with an average line width of 312 nm, which acts as an inhibitor for area-selective atomic layer deposition (AS-ALD) of a range of metal oxides. We also demonstrate subtractive e-jet printing with solvent inks that dissolve polymer inhibitor layers in specific regions, which enables localized AS-ALD within those regions. The chemical selectivity and morphology of e-jet patterned polymers towards binary and ternary oxides of ZnO, Al2O3, and SnO2 were quantified using X-ray photoelectron spectroscopy, atomic force microscopy, and Auger electron spectroscopy. This approach enables patterning of functional oxide semiconductors, insulators, and transparent conducting oxides with tunable composition, Å-scale control of thickness, and sub-μm resolution in the x-y plane. Using a combination of additive and subtractive e-jet printing with AS-ALD, a thin-film transistor was fabricated using zinc-tin-oxide for the semiconductor channel and aluminum-doped zinc oxide as the source and drain electrical contacts. In the future, this technique can be used to print integrated electronics with sub-micron resolution on a variety of substrates.
Collapse
|
13
|
Waldman RZ, Mandia DJ, Yanguas-Gil A, Martinson ABF, Elam JW, Darling SB. The chemical physics of sequential infiltration synthesis-A thermodynamic and kinetic perspective. J Chem Phys 2019; 151:190901. [PMID: 31757164 DOI: 10.1063/1.5128108] [Citation(s) in RCA: 38] [Impact Index Per Article: 7.6] [Reference Citation Analysis] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 01/08/2023] Open
Abstract
Sequential infiltration synthesis (SIS) is an emerging materials growth method by which inorganic metal oxides are nucleated and grown within the free volume of polymers in association with chemical functional groups in the polymer. SIS enables the growth of novel polymer-inorganic hybrid materials, porous inorganic materials, and spatially templated nanoscale devices of relevance to a host of technological applications. Although SIS borrows from the precursors and equipment of atomic layer deposition (ALD), the chemistry and physics of SIS differ in important ways. These differences arise from the permeable three-dimensional distribution of functional groups in polymers in SIS, which contrast to the typically impermeable two-dimensional distribution of active sites on solid surfaces in ALD. In SIS, metal-organic vapor-phase precursors dissolve and diffuse into polymers and interact with these functional groups through reversible complex formation and/or irreversible chemical reactions. In this perspective, we describe the thermodynamics and kinetics of SIS and attempt to disentangle the tightly coupled physical and chemical processes that underlie this method. We discuss the various experimental, computational, and theoretical efforts that provide insight into SIS mechanisms and identify approaches that may fill out current gaps in knowledge and expand the utilization of SIS.
Collapse
Affiliation(s)
- Ruben Z Waldman
- Pritzker School of Molecular Engineering, The University of Chicago, Chicago, Illinois 60637, USA
| | - David J Mandia
- Applied Materials Division, Argonne National Laboratory, Lemont, Illinois 60439, USA
| | - Angel Yanguas-Gil
- Applied Materials Division, Argonne National Laboratory, Lemont, Illinois 60439, USA
| | - Alex B F Martinson
- Advanced Materials for Energy-Water Systems (AMEWS) Energy Frontier Research Center (EFRC), Lemont, Illinois 60439, USA
| | - Jeffrey W Elam
- Advanced Materials for Energy-Water Systems (AMEWS) Energy Frontier Research Center (EFRC), Lemont, Illinois 60439, USA
| | - Seth B Darling
- Pritzker School of Molecular Engineering, The University of Chicago, Chicago, Illinois 60637, USA
| |
Collapse
|
14
|
Atomic Layer Deposition of Inorganic Thin Films on 3D Polymer Nanonetworks. APPLIED SCIENCES-BASEL 2019. [DOI: 10.3390/app9101990] [Citation(s) in RCA: 21] [Impact Index Per Article: 4.2] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 12/12/2022]
Abstract
Atomic layer deposition (ALD) is a unique tool for conformally depositing inorganic thin films with precisely controlled thickness at nanoscale. Recently, ALD has been used in the manufacture of inorganic thin films using a three-dimensional (3D) nanonetwork structure made of polymer as a template, which is pre-formed by advanced 3D nanofabrication techniques such as electrospinning, block-copolymer (BCP) lithography, direct laser writing (DLW), multibeam interference lithography (MBIL), and phase-mask interference lithography (PMIL). The key technical requirement of this polymer template-assisted ALD is to perform the deposition process at a lower temperature, preserving the nanostructure of the polymer template during the deposition process. This review focuses on the successful cases of conformal deposition of inorganic thin films on 3D polymer nanonetworks using thermal ALD or plasma-enhanced ALD at temperatures below 200 °C. Recent applications and prospects of nanostructured polymer–inorganic composites or hollow inorganic materials are also discussed.
Collapse
|
15
|
Gasvoda RJ, Wang S, Hausmann DM, Hudson EA, Agarwal S. Gas Phase Organic Functionalization of SiO 2 with Propanoyl Chloride. LANGMUIR : THE ACS JOURNAL OF SURFACES AND COLLOIDS 2018; 34:14489-14497. [PMID: 30375874 DOI: 10.1021/acs.langmuir.8b02449] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.2] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/08/2023]
Abstract
The reaction mechanism of propanoyl chloride (C2H5COCl) with -SiOH-terminated SiO2 films was studied using in situ surface infrared spectroscopy. We show that this surface functionalization reaction is temperature dependent. At 230 °C, C2H5COCl reacts with isolated surface -SiOH groups to form the expected ester linkage. Surprisingly, as the temperature is lowered to 70 °C, the ketone groups are transformed into the enol tautomer, but if the temperature is increased back to the starting exposure temperature of 230 °C, the ketone tautomer is not recovered, indicating that the enol form is thermally stable over a wide range of temperatures. Further, the enol form is directly formed after exposure of a SiO2 surface to C2H5COCl at 70 °C. We speculate that the enol form, which is energetically unfavorable, is stabilized because of hydrogen bonding with adjacent enol groups or through hydrogen bonding with unreacted surface -SiOH groups. The surface coverage of hydrocarbon molecules is calculated as ∼6 × 1012 cm-2, assuming each reacted -SiOH group contributes to one hydrocarbon linkage on the surface. At a substrate temperature of 70 °C, the enol form is unreactive with H2O, and H2O molecules simply physisorb on the surface. At higher temperatures, H2O converts the ketone to the enol tautomer and reacts with Si-O-Si bridges, forming more -SiOH reactive sites. The overall hydrocarbon coverage on the surface can then be further increased through cycling H2O and C2H5COCl doses.
Collapse
Affiliation(s)
- Ryan J Gasvoda
- Department of Chemical and Biological Engineering , Colorado School of Mines , Golden , Colorado 80401 , United States
| | - Scott Wang
- Lam Research Corporation , 4650 Cushing Parkway , Fremont , California 94538 , United States
| | - Dennis M Hausmann
- Lam Research Corporation , 11155 SW Leveton Drive , Tualatin , Oregon 97062 , United States
| | - Eric A Hudson
- Lam Research Corporation , 4650 Cushing Parkway , Fremont , California 94538 , United States
| | - Sumit Agarwal
- Department of Chemical and Biological Engineering , Colorado School of Mines , Golden , Colorado 80401 , United States
| |
Collapse
|
16
|
Haghshenas-Lari MJ, Mostoufi N, Sotudeh-Gharebagh R. Medicinal stability of vitamin C coated with TiO 2 by ALD. PARTICULATE SCIENCE AND TECHNOLOGY 2018. [DOI: 10.1080/02726351.2017.1296521] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 10/20/2022]
Affiliation(s)
- M. J. Haghshenas-Lari
- Multiphase Systems Research Laboratory, School of Chemical Engineering, College of Engineering, University of Tehran, Tehran, Iran
| | - N. Mostoufi
- Multiphase Systems Research Laboratory, School of Chemical Engineering, College of Engineering, University of Tehran, Tehran, Iran
| | - R. Sotudeh-Gharebagh
- Multiphase Systems Research Laboratory, School of Chemical Engineering, College of Engineering, University of Tehran, Tehran, Iran
| |
Collapse
|
17
|
Petukhov MN, Birnal P, Bourgeois S, Vantalon D, Lagarde P, Domenichini B. Titanium Tetraisopropoxide Adsorption and Decomposition on Cu(111). Top Catal 2018. [DOI: 10.1007/s11244-018-0987-1] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/28/2022]
|
18
|
Seo S, Yeo BC, Han SS, Yoon CM, Yang JY, Yoon J, Yoo C, Kim HJ, Lee YB, Lee SJ, Myoung JM, Lee HBR, Kim WH, Oh IK, Kim H. Reaction Mechanism of Area-Selective Atomic Layer Deposition for Al 2O 3 Nanopatterns. ACS APPLIED MATERIALS & INTERFACES 2017; 9:41607-41617. [PMID: 29111636 DOI: 10.1021/acsami.7b13365] [Citation(s) in RCA: 27] [Impact Index Per Article: 3.9] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/07/2023]
Abstract
The reaction mechanism of area-selective atomic layer deposition (AS-ALD) of Al2O3 thin films using self-assembled monolayers (SAMs) was systematically investigated by theoretical and experimental studies. Trimethylaluminum (TMA) and H2O were used as the precursor and oxidant, respectively, with octadecylphosphonic acid (ODPA) as an SAM to block Al2O3 film formation. However, Al2O3 layers began to form on the ODPA SAMs after several cycles, despite reports that CH3-terminated SAMs cannot react with TMA. We showed that TMA does not react chemically with the SAM but is physically adsorbed, acting as a nucleation site for Al2O3 film growth. Moreover, the amount of physisorbed TMA was affected by the partial pressure. By controlling it, we developed a new AS-ALD Al2O3 process with high selectivity, which produces films of ∼60 nm thickness over 370 cycles. The successful deposition of Al2O3 thin film patterns using this process is a breakthrough technique in the field of nanotechnology.
Collapse
Affiliation(s)
| | - Byung Chul Yeo
- Center of Computational Science, Korea Institute of Science and Technology (KIST) , Hwarangno 14-gil 5, Seoul 02792, Republic of Korea
| | - Sang Soo Han
- Center of Computational Science, Korea Institute of Science and Technology (KIST) , Hwarangno 14-gil 5, Seoul 02792, Republic of Korea
| | | | - Joon Young Yang
- LG Display Co., Ltd. , 245, LG-ro, Wollong-myeon, Paju-si, Gyeonggi-do 10845, Republic of Korea
| | - Jonggeun Yoon
- LG Display Co., Ltd. , 245, LG-ro, Wollong-myeon, Paju-si, Gyeonggi-do 10845, Republic of Korea
| | - Choongkeun Yoo
- LG Display Co., Ltd. , 245, LG-ro, Wollong-myeon, Paju-si, Gyeonggi-do 10845, Republic of Korea
| | - Ho-Jin Kim
- LG Display Co., Ltd. , 245, LG-ro, Wollong-myeon, Paju-si, Gyeonggi-do 10845, Republic of Korea
| | - Yong-Baek Lee
- LG Display Co., Ltd. , 245, LG-ro, Wollong-myeon, Paju-si, Gyeonggi-do 10845, Republic of Korea
| | | | | | - Han-Bo-Ram Lee
- Department of Materials Science and Engineering, Incheon National University , 119 Academy-ro, Yeonsu-gu, Incheon 22012, Republic of Korea
| | - Woo-Hee Kim
- Division of Advanced Materials Engineering, Chonbuk National University , Jeonbuk 54896, Republic of Korea
| | | | | |
Collapse
|
19
|
Cummins C, Shaw MT, Morris MA. Area Selective Polymer Brush Deposition. Macromol Rapid Commun 2017; 38. [DOI: 10.1002/marc.201700252] [Citation(s) in RCA: 15] [Impact Index Per Article: 2.1] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Received: 04/19/2017] [Revised: 05/24/2017] [Indexed: 11/10/2022]
Affiliation(s)
- Cian Cummins
- Centre for Research on Adaptive Nanostructures and Nanodevices (CRANN) & AMBER CentreTrinity College Dublin 5 College Green Dublin 2 Ireland
| | | | - Michael A. Morris
- Centre for Research on Adaptive Nanostructures and Nanodevices (CRANN) & AMBER CentreTrinity College Dublin 5 College Green Dublin 2 Ireland
| |
Collapse
|
20
|
Minaye Hashemi FS, Birchansky BR, Bent SF. Selective Deposition of Dielectrics: Limits and Advantages of Alkanethiol Blocking Agents on Metal-Dielectric Patterns. ACS APPLIED MATERIALS & INTERFACES 2016; 8:33264-33272. [PMID: 27934166 DOI: 10.1021/acsami.6b09960] [Citation(s) in RCA: 4] [Impact Index Per Article: 0.5] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/06/2023]
Abstract
Area selective atomic layer deposition has the potential to significantly improve current fabrication approaches by introducing a bottom-up process in which robust and conformal thin films are selectively deposited onto patterned substrates. In this paper, we demonstrate selective deposition of dielectrics on metal/dielectric patterns by protecting metal surfaces using alkanethiol blocking layers. We examine alkanethiol self-assembled monolayers (SAMs) with two different chain lengths deposited both in vapor and in solution and show that in both systems, thiols have the ability to block surfaces against dielectric deposition. We show that thiol molecules can displace Cu oxide, opening possibilities for easier sample preparation. A vapor-deposited alkanethiol SAM is shown to be more effective than a solution-deposited SAM in blocking ALD, even after only 30 s of exposure. The vapor deposition also results in a much better thiol regeneration process and may facilitate deposition of the SAMs on porous or three-dimensional structures, allowing for the fabrication of next generation electronic devices.
Collapse
Affiliation(s)
- Fatemeh Sadat Minaye Hashemi
- Department of Materials Science and Engineering, and ‡Department of Chemical Engineering, Stanford University , Stanford, California 94305-5025, United States
| | - Bradlee R Birchansky
- Department of Materials Science and Engineering, and ‡Department of Chemical Engineering, Stanford University , Stanford, California 94305-5025, United States
| | - Stacey F Bent
- Department of Materials Science and Engineering, and ‡Department of Chemical Engineering, Stanford University , Stanford, California 94305-5025, United States
| |
Collapse
|
21
|
Haider A, Deminskyi P, Khan TM, Eren H, Biyikli N. Area-Selective Atomic Layer Deposition Using an Inductively Coupled Plasma Polymerized Fluorocarbon Layer: A Case Study for Metal Oxides. THE JOURNAL OF PHYSICAL CHEMISTRY C 2016; 120:26393-26401. [DOI: 10.1021/acs.jpcc.6b09406] [Citation(s) in RCA: 6] [Impact Index Per Article: 0.8] [Reference Citation Analysis] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 09/01/2023]
Affiliation(s)
- Ali Haider
- Institute
of Materials Science and Nanotechnology, Bilkent University, Ankara 06800, Turkey
- UNAM
- National Nanotechnology Research Center, Bilkent University, Ankara 06800, Turkey
| | - Petro Deminskyi
- UNAM
- National Nanotechnology Research Center, Bilkent University, Ankara 06800, Turkey
| | - Talha M. Khan
- Institute
of Materials Science and Nanotechnology, Bilkent University, Ankara 06800, Turkey
- UNAM
- National Nanotechnology Research Center, Bilkent University, Ankara 06800, Turkey
| | - Hamit Eren
- Institute
of Materials Science and Nanotechnology, Bilkent University, Ankara 06800, Turkey
- UNAM
- National Nanotechnology Research Center, Bilkent University, Ankara 06800, Turkey
| | - Necmi Biyikli
- Electrical
and Computer Engineering Department, Utah State University, Logan, Utah 84322, United States
| |
Collapse
|
22
|
Guo L, Qin X, Zaera F. Chemical Treatment of Low-k Dielectric Surfaces for Patterning of Thin Solid Films in Microelectronic Applications. ACS APPLIED MATERIALS & INTERFACES 2016; 8:6293-6300. [PMID: 26956428 DOI: 10.1021/acsami.6b00495] [Citation(s) in RCA: 4] [Impact Index Per Article: 0.5] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/05/2023]
Abstract
A protocol has been developed to selectively process low-k SiCOH dielectric substrates in order to activate or deactivate them toward the deposition of thin solid films by chemical (CVD or ALD) means. The original SiCOH surfaces are hydrophobic, an indication that they are alkyl- rather than silanol-terminated and that, consequently, they are fairly unreactive. However, the chemical-mechanical polishing (CMP) sometimes done during microelectronics fabrication renders them hydrophilic and reactive. It was shown here that silylation of the CMP-treated surfaces with any of a number of well-known silylation agents such as HMDS, ODTS, or OTS caps the reactive silanol surface groups and turns them back to being hydrophilic and unreactive. Further exposure of any of the passivated surfaces to a combination of ozone and UV radiation reinstates their hydrophilicity and chemical activity. Importantly, it was also demonstrated that all these changes could be induced without altering the original mechanical, optical, or electrical properties of the samples: atomic force microscopy (AFM) images show no increase in roughness, ellipsometry measurements yield the same values for the index of refraction and dielectric constant, and infrared absorption spectroscopy attests to the preservation of the organic fragments present in the original SiCOH samples. The chemical selectivity of the resulting surfaces was tested for the atomic layer deposition (ALD) of HfO2 films, which could be grown only on the UV/O3 treated substrates.
Collapse
Affiliation(s)
- Lei Guo
- Department of Chemistry, University of California , Riverside, California 92521, United States
| | - Xiangdong Qin
- Department of Chemistry, University of California , Riverside, California 92521, United States
| | - Francisco Zaera
- Department of Chemistry, University of California , Riverside, California 92521, United States
| |
Collapse
|
23
|
Haider A, Yilmaz M, Deminskyi P, Eren H, Biyikli N. Nanoscale selective area atomic layer deposition of TiO2 using e-beam patterned polymers. RSC Adv 2016. [DOI: 10.1039/c6ra23923d] [Citation(s) in RCA: 25] [Impact Index Per Article: 3.1] [Reference Citation Analysis] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 02/03/2023] Open
Abstract
Self aligned nano patterning of TiO2 using area selective atomic area deposition.
Collapse
Affiliation(s)
- Ali Haider
- Institute of Materials Science and Nanotechnology
- Bilkent University
- Ankara 06800
- Turkey
- UNAM – National Nanotechnology Research Center
| | - Mehmet Yilmaz
- UNAM – National Nanotechnology Research Center
- Bilkent University
- Ankara 06800
- Turkey
| | - Petro Deminskyi
- UNAM – National Nanotechnology Research Center
- Bilkent University
- Ankara 06800
- Turkey
| | - Hamit Eren
- Institute of Materials Science and Nanotechnology
- Bilkent University
- Ankara 06800
- Turkey
- UNAM – National Nanotechnology Research Center
| | - Necmi Biyikli
- Electrical and Computer Engineering Department
- Utah State University
- Logan
- USA
| |
Collapse
|
24
|
Wu Y, Döhler D, Barr M, Oks E, Wolf M, Santinacci L, Bachmann J. Atomic Layer Deposition from Dissolved Precursors. NANO LETTERS 2015; 15:6379-6385. [PMID: 26418724 DOI: 10.1021/acs.nanolett.5b01424] [Citation(s) in RCA: 8] [Impact Index Per Article: 0.9] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/05/2023]
Abstract
We establish a novel thin film deposition technique by transferring the principles of atomic layer deposition (ALD) known with gaseous precursors toward precursors dissolved in a liquid. An established ALD reaction behaves similarly when performed from solutions. "Solution ALD" (sALD) can coat deep pores in a conformal manner. sALD offers novel opportunities by overcoming the need for volatile and thermally robust precursors. We establish a MgO sALD procedure based on the hydrolysis of a Grignard reagent.
Collapse
Affiliation(s)
- Yanlin Wu
- Department of Chemistry and Pharmacy, Friedrich-Alexander University of Erlangen-Nürnberg , Egerlandstrasse 1, D-91058 Erlangen, Germany
| | - Dirk Döhler
- Department of Chemistry and Pharmacy, Friedrich-Alexander University of Erlangen-Nürnberg , Egerlandstrasse 1, D-91058 Erlangen, Germany
| | - Maïssa Barr
- CNRS, CINaM UMR 7325, Aix Marseille Université , F-13288 Marseille, France
| | - Elina Oks
- Departments of Chemistry and Physics, University of Hamburg , Sedanstrasse 19, D-20146 Hamburg, Germany
| | - Marc Wolf
- Departments of Chemistry and Physics, University of Hamburg , Sedanstrasse 19, D-20146 Hamburg, Germany
| | - Lionel Santinacci
- CNRS, CINaM UMR 7325, Aix Marseille Université , F-13288 Marseille, France
| | - Julien Bachmann
- Department of Chemistry and Pharmacy, Friedrich-Alexander University of Erlangen-Nürnberg , Egerlandstrasse 1, D-91058 Erlangen, Germany
- Departments of Chemistry and Physics, University of Hamburg , Sedanstrasse 19, D-20146 Hamburg, Germany
| |
Collapse
|
25
|
Minaye Hashemi FS, Prasittichai C, Bent SF. Self-Correcting Process for High Quality Patterning by Atomic Layer Deposition. ACS NANO 2015; 9:8710-7. [PMID: 26181140 DOI: 10.1021/acsnano.5b03125] [Citation(s) in RCA: 12] [Impact Index Per Article: 1.3] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 05/26/2023]
Abstract
Nanoscale patterning of materials is widely used in a variety of device applications. Area selective atomic layer deposition (ALD) has shown promise for deposition of patterned structures with subnanometer thickness control. However, the current process is limited in its ability to achieve good selectivity for thicker films formed at higher number of ALD cycles. In this report, we demonstrate a strategy for achieving selective film deposition via a self-correcting process on patterned Cu/SiO2 substrates. We employ the intrinsically selective adsorption of octadecylphosphonic acid self-assembled monolayers on Cu over SiO2 surfaces to selectively create a resist layer only on Cu. ALD is then performed on the patterns to deposit a dielectric film. A mild etchant is subsequently used to selectively remove any residual dielectric film deposited on the Cu surface while leaving the dielectric film on SiO2 unaffected. The selectivity achieved after this treatment, measured by compositional analysis, is found to be 10 times greater than for conventional area selective ALD.
Collapse
Affiliation(s)
- Fatemeh Sadat Minaye Hashemi
- Department of Materials Science and Engineering and ‡Department of Chemical Engineering, Stanford University , Stanford, California 94305-5025, United States
| | - Chaiya Prasittichai
- Department of Materials Science and Engineering and ‡Department of Chemical Engineering, Stanford University , Stanford, California 94305-5025, United States
| | - Stacey F Bent
- Department of Materials Science and Engineering and ‡Department of Chemical Engineering, Stanford University , Stanford, California 94305-5025, United States
| |
Collapse
|
26
|
Reinke M, Kuzminykh Y, Hoffmann P. Selective growth of titanium dioxide by low-temperature chemical vapor deposition. ACS APPLIED MATERIALS & INTERFACES 2015; 7:9736-9743. [PMID: 25901661 DOI: 10.1021/acsami.5b01561] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/04/2023]
Abstract
A key factor in engineering integrated optical devices such as electro-optic switches or waveguides is the patterning of thin films into specific geometries. In particular for functional oxides, etching processes are usually developed to a much lower extent than for silicon or silicon dioxide; therefore, selective area deposition techniques are of high interest for these materials. We report the selective area deposition of titanium dioxide using titanium isopropoxide and water in a high-vacuum chemical vapor deposition (HV-CVD) process at a substrate temperature of 225 °C. Here—contrary to conventional thermal CVD processes—only hydrolysis of the precursor on the surface drives the film growth as the thermal energy is not sufficient to thermally decompose the precursor. Local modification of the substrate surface energy by perfluoroalkylsilanization leads to a reduced surface residence time of the precursors and, consequently, to lower reaction rate and a prolonged incubation period before nucleation occurs, hence, enabling selective area growth. We discuss the dependence of the incubation time and the selectivity of the deposition process on the presence of the perfluoroalkylsilanization layer and on the precursor impinging rates—with selectivity, we refer to the difference of desired material deposition, before nucleation occurs in the undesired regions. The highest measured selectivity reached (99 ± 5) nm, a factor of 3 superior than previously reported in an atomic layer deposition process using the same chemistry. Furthermore, resolution of the obtained patterns will be discussed and illustrated.
Collapse
Affiliation(s)
- Michael Reinke
- Laboratory for Advanced Materials Processing, Empa, Swiss Federal Laboratories for Materials Science and Technology, Feuerwerkerstrasse 39, CH-3602 Thun, Switzerland
- Laboratory for Photonic Materials and Characterization, Ecole Polytechnique Fédérale de Lau-sanne, Station 17, CH-1015 Lausanne, Switzerland
| | - Yury Kuzminykh
- Laboratory for Advanced Materials Processing, Empa, Swiss Federal Laboratories for Materials Science and Technology, Feuerwerkerstrasse 39, CH-3602 Thun, Switzerland
- Laboratory for Photonic Materials and Characterization, Ecole Polytechnique Fédérale de Lau-sanne, Station 17, CH-1015 Lausanne, Switzerland
| | - Patrik Hoffmann
- Laboratory for Advanced Materials Processing, Empa, Swiss Federal Laboratories for Materials Science and Technology, Feuerwerkerstrasse 39, CH-3602 Thun, Switzerland
- Laboratory for Photonic Materials and Characterization, Ecole Polytechnique Fédérale de Lau-sanne, Station 17, CH-1015 Lausanne, Switzerland
| |
Collapse
|
27
|
O’Neill BJ, Jackson DHK, Lee J, Canlas C, Stair PC, Marshall CL, Elam JW, Kuech TF, Dumesic JA, Huber GW. Catalyst Design with Atomic Layer Deposition. ACS Catal 2015. [DOI: 10.1021/cs501862h] [Citation(s) in RCA: 514] [Impact Index Per Article: 57.1] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 02/07/2023]
Affiliation(s)
| | | | | | | | - Peter C. Stair
- Department
of Chemistry, Northwestern University, Evanston, Illinois 60208, United States
| | | | | | | | | | | |
Collapse
|
28
|
Mackus AJM, Bol AA, Kessels WMM. The use of atomic layer deposition in advanced nanopatterning. NANOSCALE 2014; 6:10941-60. [PMID: 25156884 DOI: 10.1039/c4nr01954g] [Citation(s) in RCA: 92] [Impact Index Per Article: 9.2] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 05/26/2023]
Abstract
Atomic layer deposition (ALD) is a method that allows for the deposition of thin films with atomic level control of the thickness and an excellent conformality on 3-dimensional surfaces. In recent years, ALD has been implemented in many applications in microelectronics, for which often a patterned film instead of full area coverage is required. This article reviews several approaches for the patterning of ALD-grown films. In addition to conventional methods relying on etching, there has been much interest in nanopatterning by area-selective ALD. Area-selective approaches can eliminate compatibility issues associated with the use of etchants, lift-off chemicals, or resist films. Moreover, the use of ALD as an enabling technology in advanced nanopatterning methods such as spacer defined double patterning or block copolymer lithography is discussed, as well as the application of selective ALD in self-aligned fabrication schemes.
Collapse
Affiliation(s)
- A J M Mackus
- Department of Applied Physics, Eindhoven University of Technology, P.O. Box 513, 5600 MB Eindhoven, The Netherlands.
| | | | | |
Collapse
|
29
|
Marichy C, Bechelany M, Pinna N. Atomic layer deposition of nanostructured materials for energy and environmental applications. ADVANCED MATERIALS (DEERFIELD BEACH, FLA.) 2012; 24:1017-32. [PMID: 22278762 DOI: 10.1002/adma.201104129] [Citation(s) in RCA: 141] [Impact Index Per Article: 11.8] [Reference Citation Analysis] [Abstract] [MESH Headings] [Track Full Text] [Subscribe] [Scholar Register] [Received: 10/27/2011] [Indexed: 05/20/2023]
Abstract
Atomic layer deposition (ALD) is a thin film technology that in the past two decades rapidly developed from a niche technology to an established method. It proved to be a key technology for the surface modification and the fabrication of complex nanostructured materials. In this Progress Report, after a short introduction to ALD and its chemistry, the versatility of the technique for the fabrication of novel functional materials will be discussed. Selected examples, focused on its use for the engineering of nanostructures targeting applications in energy conversion and storage, and on environmental issues, will be discussed. Finally, the challenges that ALD is now facing in terms of materials fabrication and processing will be also tackled.
Collapse
Affiliation(s)
- Catherine Marichy
- Department of Chemistry, CICECO, University of Aveiro, Aveiro, Portugal
| | | | | |
Collapse
|
30
|
Biswas A, Bayer IS, Biris AS, Wang T, Dervishi E, Faupel F. Advances in top-down and bottom-up surface nanofabrication: techniques, applications & future prospects. Adv Colloid Interface Sci 2012; 170:2-27. [PMID: 22154364 DOI: 10.1016/j.cis.2011.11.001] [Citation(s) in RCA: 311] [Impact Index Per Article: 25.9] [Reference Citation Analysis] [Abstract] [MESH Headings] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Received: 07/19/2011] [Revised: 11/02/2011] [Accepted: 11/08/2011] [Indexed: 02/02/2023]
Abstract
This review highlights the most significant advances of the nanofabrication techniques reported over the past decade with a particular focus on the approaches tailored towards the fabrication of functional nano-devices. The review is divided into two sections: top-down and bottom-up nanofabrication. Under the classification of top-down, special attention is given to technical reports that demonstrate multi-directional patterning capabilities less than or equal to 100 nm. These include recent advances in lithographic techniques, such as optical, electron beam, soft, nanoimprint, scanning probe, and block copolymer lithography. Bottom-up nanofabrication techniques--such as, atomic layer deposition, sol-gel nanofabrication, molecular self-assembly, vapor-phase deposition and DNA-scaffolding for nanoelectronics--are also discussed. Specifically, we describe advances in the fabrication of functional nanocomposites and graphene using chemical and physical vapor deposition. Our aim is to provide a comprehensive platform for prominent nanofabrication tools and techniques in order to facilitate the development of new or hybrid nanofabrication techniques leading to novel and efficient functional nanostructured devices.
Collapse
Affiliation(s)
- Abhijit Biswas
- Center for Nano Science and Technology (NDnano), Department of Electrical Engineering, University of Notre Dame, Notre Dame, IN 46556, USA.
| | | | | | | | | | | |
Collapse
|
31
|
Peng Q, Tseng YC, Darling SB, Elam JW. A route to nanoscopic materials via sequential infiltration synthesis on block copolymer templates. ACS NANO 2011; 5:4600-6. [PMID: 21545142 DOI: 10.1021/nn2003234] [Citation(s) in RCA: 65] [Impact Index Per Article: 5.0] [Reference Citation Analysis] [Abstract] [MESH Headings] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 05/23/2023]
Abstract
Sequential infiltration synthesis (SIS), combining stepwise molecular assembly reactions with self-assembled block copolymer (BCP) substrates, provides a new strategy to pattern nanoscopic materials in a controllable way. The selective reaction of a metal precursor with one of the pristine BCP domains is the key step in the SIS process. Here we present a straightforward strategy to selectively modify self-assembled polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) BCP thin films to enable the SIS of a variety of materials including SiO(2), ZnO, and W. The selective and controlled interaction of trimethyl aluminum with carbonyl groups in the PMMA polymer domains generates Al-CH(3)/Al-OH sites inside the BCP scaffold which can seed the subsequent growth of a diverse range of materials without requiring complex block copolymer design and synthesis.
Collapse
Affiliation(s)
- Qing Peng
- Energy Systems Division, Center for Nanoscale Materials, Argonne National Laboratory, 9700 S. Cass Avenue, Argonne, Illinois 60439, United States
| | | | | | | |
Collapse
|
32
|
Peng Q, Tseng YC, Darling SB, Elam JW. Nanoscopic patterned materials with tunable dimensions via atomic layer deposition on block copolymers. ADVANCED MATERIALS (DEERFIELD BEACH, FLA.) 2010; 22:5129-33. [PMID: 20827673 DOI: 10.1002/adma.201002465] [Citation(s) in RCA: 138] [Impact Index Per Article: 9.9] [Reference Citation Analysis] [MESH Headings] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 05/12/2023]
Affiliation(s)
- Qing Peng
- Energy Systems Division, Argonne National Laboratory, IL 60439, USA
| | | | | | | |
Collapse
|
33
|
Puttaswamy M, Haugshøj KB, Højslet Christensen L, Kingshott P. Molecular Mechanisms of Aluminum Oxide Thin Film Growth on Polystyrene during Atomic Layer Deposition. Chemistry 2010; 16:13925-9. [DOI: 10.1002/chem.201001888] [Citation(s) in RCA: 7] [Impact Index Per Article: 0.5] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/06/2022]
|
34
|
Levy DH, Nelson SF, Freeman D. Oxide Electronics by Spatial Atomic Layer Deposition. ACTA ACUST UNITED AC 2009. [DOI: 10.1109/jdt.2009.2022770] [Citation(s) in RCA: 109] [Impact Index Per Article: 7.3] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/07/2022]
|
35
|
Affiliation(s)
- Steven M. George
- Department of Chemistry and Biochemistry and Department of Chemical and Biological Engineering, University of Colorado, Boulder, Colorado 80309
| |
Collapse
|
36
|
Zhang L, Patil A, Li L, Schierhorn A, Mann S, Gösele U, Knez M. Chemical Infiltration during Atomic Layer Deposition: Metalation of Porphyrins as Model Substrates. Angew Chem Int Ed Engl 2009; 48:4982-5. [DOI: 10.1002/anie.200900426] [Citation(s) in RCA: 41] [Impact Index Per Article: 2.7] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/05/2022]
|
37
|
Zhang L, Patil A, Li L, Schierhorn A, Mann S, Gösele U, Knez M. Chemical Infiltration during Atomic Layer Deposition: Metalation of Porphyrins as Model Substrates. Angew Chem Int Ed Engl 2009. [DOI: 10.1002/ange.200900426] [Citation(s) in RCA: 8] [Impact Index Per Article: 0.5] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/10/2022]
|
38
|
Kemell M, Färm E, Ritala M, Leskelä M. Surface modification of thermoplastics by atomic layer deposition of Al2O3 and TiO2 thin films. Eur Polym J 2008. [DOI: 10.1016/j.eurpolymj.2008.09.005] [Citation(s) in RCA: 61] [Impact Index Per Article: 3.8] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 10/21/2022]
|
39
|
Balu B, Breedveld V, Hess DW. Fabrication of "roll-off" and "sticky" superhydrophobic cellulose surfaces via plasma processing. LANGMUIR : THE ACS JOURNAL OF SURFACES AND COLLOIDS 2008; 24:4785-4790. [PMID: 18315020 DOI: 10.1021/la703766c] [Citation(s) in RCA: 208] [Impact Index Per Article: 13.0] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 05/26/2023]
Abstract
Most of the artificial superhydrophobic surfaces that have been fabricated to date are not biodegradable, renewable, or mechanically flexible and are often expensive, which limits their potential applications. In contrast, cellulose, a biodegradable, renewable, flexible, inexpensive, biopolymer which is abundantly present in nature, satisfies all the above requirements, but it is not superhydrophobic. Superhydrophobicity on cellulose paper was obtained by domain-selective etching of amorphous portions of the cellulose in an oxygen plasma and subsequently coating the etched surface with a thin fluorocarbon film deposited via plasma-enhanced chemical vapor deposition using pentafluoroethane as a precursor. Variation of plasma treatment yielded two types of superhydrophobicity : "roll-off" (contact angle (CA), 166.7 degrees +/- 0.9 degrees ; CA hysteresis, 3.4 degrees +/- 0.1 degrees ) and "sticky" (CA, 144.8 degrees +/- 5.7 degrees ; CA hysteresis, 79.1 degrees +/- 15.8 degrees ) near superhydrophobicity. The nanometer scale roughness obtained by delineating the internal roughness of each fiber and the micrometer scale roughness which is inherent to a cellulose paper surface are robust when compared to roughened structures created by traditional polymer grafting, nanoparticle deposition, or other artificial means.
Collapse
Affiliation(s)
- Balamurali Balu
- School of Chemical and Biomolecular Engineering, Georgia Institute of Technology, 311 Ferst Drive, Atlanta, Georgia 30332-0100, USA
| | | | | |
Collapse
|
40
|
Transport behavior of atomic layer deposition precursors through polymer masking layers: Influence on area selective atomic layer deposition. ACTA ACUST UNITED AC 2007. [DOI: 10.1116/1.2782546] [Citation(s) in RCA: 53] [Impact Index Per Article: 3.1] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/17/2022]
|