1
|
Kim H, Kim T, Chung HK, Jeon J, Kim SC, Won SO, Harada R, Tsugawa T, Kim S, Kim SK. Sustained Area-Selectivity in Atomic Layer Deposition of Ir Films: Utilization of Dual Effects of O 3 in Deposition and Etching. SMALL (WEINHEIM AN DER BERGSTRASSE, GERMANY) 2024:e2402543. [PMID: 39077961 DOI: 10.1002/smll.202402543] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 03/30/2024] [Revised: 06/14/2024] [Indexed: 07/31/2024]
Abstract
Area-selective deposition (ASD) based on self-aligned technology has emerged as a promising solution for resolving misalignment issues during ultrafine patterning processes. Despite its potential, the problems of area-selectivity losing beyond a certain thickness remain critical in ASD applications. This study reports a novel approach to sustain the area-selectivity of Ir films as the thickness increases. Ir films are deposited on Al2O3 as the growth area and SiO2 as the non-growth area using atomic-layer-deposition with tricarbonyl-(1,2,3-η)-1,2,3-tri(tert-butyl)-cyclopropenyl-iridium and O3. O3 exhibits a dual effect, facilitating both deposition and etching. In the steady-state growth regime, O3 solely contributes to deposition, whereas in the initial growth stages, longer exposure to O3 etches the initially formed isolated Ir nuclei through the formation of volatile IrO3. Importantly, longer O3 exposure is required for the initial etching on the growth area(Al2O3) compared to the non-growth area(SiO2). By controlling the O3 injection time, the area selectivity is sustained even above a thickness of 25 nm by suppressing nucleation on the non-growth area. These findings shed light on the fundamental mechanisms of ASD using O3 and offer a promising avenue for advancing thin-film technologies. Furthermore, this approach holds promise for extending ASD to other metals susceptible to forming volatile species.
Collapse
Affiliation(s)
- Han Kim
- KU-KIST Graduate School of Converging Science and Technology, Korea University, Seoul, 02841, South Korea
- Electronic Materials Research Center, Korea Institute of Science and Technology, Seoul, 02792, South Korea
| | - Taeseok Kim
- KU-KIST Graduate School of Converging Science and Technology, Korea University, Seoul, 02841, South Korea
- Electronic Materials Research Center, Korea Institute of Science and Technology, Seoul, 02792, South Korea
| | - Hong Keun Chung
- Electronic Materials Research Center, Korea Institute of Science and Technology, Seoul, 02792, South Korea
- Department of Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, South Korea
| | - Jihoon Jeon
- KU-KIST Graduate School of Converging Science and Technology, Korea University, Seoul, 02841, South Korea
- Electronic Materials Research Center, Korea Institute of Science and Technology, Seoul, 02792, South Korea
| | - Sung-Chul Kim
- Advanced Analysis and Data Center, Korea Institute of Science and Technology, Seoul, 02792, South Korea
| | - Sung Ok Won
- Advanced Analysis and Data Center, Korea Institute of Science and Technology, Seoul, 02792, South Korea
| | - Ryosuke Harada
- Chemical Materials Development Department, TANAKA Kikinzoku Kogyo K.K., Tsukuba, 300-4247, Japan
| | - Tomohiro Tsugawa
- Chemical Materials Development Department, TANAKA Kikinzoku Kogyo K.K., Tsukuba, 300-4247, Japan
| | - Sangtae Kim
- Department of Nuclear Engineering, Hanyang University, Seoul, 04763, South Korea
| | - Seong Keun Kim
- KU-KIST Graduate School of Converging Science and Technology, Korea University, Seoul, 02841, South Korea
- Electronic Materials Research Center, Korea Institute of Science and Technology, Seoul, 02792, South Korea
| |
Collapse
|
2
|
Lee JM, Lee SH, Lee JH, Kwak J, Lee J, Kim WH. Enhanced Deposition Selectivity of High- k Dielectrics by Vapor Dosing and Selective Removal of Phosphonic Acid Inhibitors. ACS APPLIED MATERIALS & INTERFACES 2024; 16:37157-37166. [PMID: 38950350 DOI: 10.1021/acsami.4c04558] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 07/03/2024]
Abstract
Area-selective atomic layer deposition (AS-ALD), which provides a bottom-up nanofabrication method with atomic-scale precision, has attracted a great deal of attention as a means to alleviate the problems associated with conventional top-down patterning. In this study, we report a methodology for achieving selective deposition of high-k dielectrics by surface modification through vapor-phase functionalization of octadecylphosphonic acid (ODPA) inhibitor molecules accompanied by post-surface treatment. A comparative evaluation of deposition selectivity of ZrO2 thin films deposited with the O2 and O3 reactants was performed on SiO2, TiN, and W substrates, and we confirmed that high enough deposition selectivity over 10 nm can be achieved even after 200 cycles of ALD with the O2 reactant. Subsequently, the electrical properties of ZrO2 films deposited with O2 and O3 reactants were investigated with and without post-deposition treatment. We successfully demonstrated that high-quality ZrO2 thin films with high dielectric constants and stable antiferroelectric properties can be produced by subjecting the films to ozone, which can eliminate carbon impurities within the films. We believe that this work provides a new strategy to achieve highly selective deposition for AS-ALD of dielectric on dielectric (DoD) applications toward upcoming bottom-up nanofabrication.
Collapse
Affiliation(s)
- Jeong-Min Lee
- Department of Materials Science and Chemical Engineering, BK21 FOUR ERICA-ACE Center, Hanyang University, Ansan, Gyeonggi 15588, Republic of Korea
| | - Seo-Hyun Lee
- Department of Materials Science and Chemical Engineering, BK21 FOUR ERICA-ACE Center, Hanyang University, Ansan, Gyeonggi 15588, Republic of Korea
| | - Ji Hun Lee
- SK Specialty Co., Ltd., 59-33 Gaheunggongdan-ro, Yeongju-si, Gyeongsangbuk-do 36059, Korea
| | - Junghun Kwak
- SK Specialty Co., Ltd., 59-33 Gaheunggongdan-ro, Yeongju-si, Gyeongsangbuk-do 36059, Korea
| | - Jinhee Lee
- SK Specialty Co., Ltd., 59-33 Gaheunggongdan-ro, Yeongju-si, Gyeongsangbuk-do 36059, Korea
| | - Woo-Hee Kim
- Department of Materials Science and Chemical Engineering, BK21 FOUR ERICA-ACE Center, Hanyang University, Ansan, Gyeonggi 15588, Republic of Korea
| |
Collapse
|
3
|
Zaera F. The surface chemistry of the atomic layer deposition of metal thin films. NANOTECHNOLOGY 2024; 35:362001. [PMID: 38888294 DOI: 10.1088/1361-6528/ad54cb] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 03/22/2024] [Accepted: 06/06/2024] [Indexed: 06/20/2024]
Abstract
In this perspective we discuss the progress made in the mechanistic studies of the surface chemistry associated with the atomic layer deposition (ALD) of metal films and the usefulness of that knowledge for the optimization of existing film growth processes and for the design of new ones. Our focus is on the deposition of late transition metals. We start by introducing some of the main surface-sensitive techniques and approaches used in this research. We comment on the general nature of the metallorganic complexes used as precursors for these depositions, and the uniqueness that solid surfaces and the absence of liquid solvents bring to the ALD chemistry and differentiate it from what is known from metalorganic chemistry in solution. We then delve into the adsorption and thermal chemistry of those precursors, highlighting the complex and stepwise nature of the decomposition of the organic ligands that usually ensued upon their thermal activation. We discuss the criteria relevant for the selection of co-reactants to be used on the second half of the ALD cycle, with emphasis on the redox chemistry often associated with the growth of metallic films starting from complexes with metal cations. Additional considerations include the nature of the substrate and the final structural and chemical properties of the growing films, which we indicate rarely retain the homogeneous 2D structure often aimed for. We end with some general conclusions and personal thoughts about the future of this field.
Collapse
Affiliation(s)
- Francisco Zaera
- Department of Chemistry, University of California, Riverside, CA 92521, United States of America
| |
Collapse
|
4
|
Olowoyo JO, Gharahshiran VS, Zeng Y, Zhao Y, Zheng Y. Atomic/molecular layer deposition strategies for enhanced CO 2 capture, utilisation and storage materials. Chem Soc Rev 2024; 53:5428-5488. [PMID: 38682880 DOI: 10.1039/d3cs00759f] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 05/01/2024]
Abstract
Elevated levels of carbon dioxide (CO2) in the atmosphere and the diminishing reserves of fossil fuels have raised profound concerns regarding the resulting consequences of global climate change and the future supply of energy. Hence, the reduction and transformation of CO2 not only mitigates environmental pollution but also generates value-added chemicals, providing a dual remedy to address both energy and environmental challenges. Despite notable advancements, the low conversion efficiency of CO2 remains a major obstacle, largely attributed to its inert chemical nature. It is imperative to engineer catalysts/materials that exhibit high conversion efficiency, selectivity, and stability for CO2 transformation. With unparalleled precision at the atomic level, atomic layer deposition (ALD) and molecular layer deposition (MLD) methods utilize various strategies, including ultrathin modification, overcoating, interlayer coating, area-selective deposition, template-assisted deposition, and sacrificial-layer-assisted deposition, to synthesize numerous novel metal-based materials with diverse structures. These materials, functioning as active materials, passive materials or modifiers, have contributed to the enhancement of catalytic activity, selectivity, and stability, effectively addressing the challenges linked to CO2 transformation. Herein, this review focuses on ALD and MLD's role in fabricating materials for electro-, photo-, photoelectro-, and thermal catalytic CO2 reduction, CO2 capture and separation, and electrochemical CO2 sensing. Significant emphasis is dedicated to the ALD and MLD designed materials, their crucial role in enhancing performance, and exploring the relationship between their structures and catalytic activities for CO2 transformation. Finally, this comprehensive review presents the summary, challenges and prospects for ALD and MLD-designed materials for CO2 transformation.
Collapse
Affiliation(s)
- Joshua O Olowoyo
- Department of Chemical and Biochemical Engineering, Thompson Engineering Building, Western University, London, ON N6A 5B9, Canada.
| | - Vahid Shahed Gharahshiran
- Department of Chemical and Biochemical Engineering, Thompson Engineering Building, Western University, London, ON N6A 5B9, Canada.
| | - Yimin Zeng
- Natural Resources Canada - CanmetMaterials, Hamilton, Canada
| | - Yang Zhao
- Department of Mechanical and Materials Engineering, Western University, London, ON N6A 5B9, Canada.
| | - Ying Zheng
- Department of Chemical and Biochemical Engineering, Thompson Engineering Building, Western University, London, ON N6A 5B9, Canada.
| |
Collapse
|
5
|
Raffaelle P, Wang GT, Shestopalov AA. Vapor-Phase Halogenation of Hydrogen-Terminated Silicon(100) Using N-Halogen-succinimides. ACS APPLIED MATERIALS & INTERFACES 2023; 15:55139-55149. [PMID: 37965814 PMCID: PMC10694808 DOI: 10.1021/acsami.3c13269] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 09/08/2023] [Revised: 10/26/2023] [Accepted: 10/30/2023] [Indexed: 11/16/2023]
Abstract
The focus of this study was to demonstrate the vapor-phase halogenation of Si(100) and subsequently evaluate the inhibiting ability of the halogenated surfaces toward atomic layer deposition (ALD) of aluminum oxide (Al2O3). Hydrogen-terminated silicon ⟨100⟩ (H-Si(100)) was halogenated using N-chlorosuccinimide (NCS), N-bromosuccinimide (NBS), and N-iodosuccinimide (NIS) in a vacuum-based chemical process. The composition and physical properties of the prepared monolayers were analyzed by using X-ray photoelectron spectroscopy (XPS) and contact angle (CA) goniometry. These measurements confirmed that all three reagents were more effective in halogenating H-Si(100) over OH-Si(100) in the vapor phase. The stability of the modified surfaces in air was also tested, with the chlorinated surface showing the greatest resistance to monolayer degradation and silicon oxide (SiO2) generation within the first 24 h of exposure to air. XPS and atomic force microscopy (AFM) measurements showed that the succinimide-derived Hal-Si(100) surfaces exhibited blocking ability superior to that of H-Si(100), a commonly used ALD resist. This halogenation method provides a dry chemistry alternative for creating halogen-based ALD resists on Si(100) in near-ambient environments.
Collapse
Affiliation(s)
- Patrick
R. Raffaelle
- Department
of Chemical Engineering, Hajim School of Engineering and Applied Sciences, University of Rochester, Rochester, New York 14627, United States
| | - George T. Wang
- Sandia
National Laboratories, Albuquerque, New Mexico 87185, United States
| | - Alexander A. Shestopalov
- Department
of Chemical Engineering, Hajim School of Engineering and Applied Sciences, University of Rochester, Rochester, New York 14627, United States
| |
Collapse
|
6
|
Satyarthy S, Hasan Ul Iqbal M, Abida F, Nahar R, Hauser AJ, Cheng MMC, Ghosh A. Stearic Acid as an Atomic Layer Deposition Inhibitor: Spectroscopic Insights from AFM-IR. NANOMATERIALS (BASEL, SWITZERLAND) 2023; 13:2713. [PMID: 37836354 PMCID: PMC10574727 DOI: 10.3390/nano13192713] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 08/17/2023] [Revised: 09/19/2023] [Accepted: 10/02/2023] [Indexed: 10/15/2023]
Abstract
Modern-day chip manufacturing requires precision in placing chip materials on complex and patterned structures. Area-selective atomic layer deposition (AS-ALD) is a self-aligned manufacturing technique with high precision and control, which offers cost effectiveness compared to the traditional patterning techniques. Self-assembled monolayers (SAMs) have been explored as an avenue for realizing AS-ALD, wherein surface-active sites are modified in a specific pattern via SAMs that are inert to metal deposition, enabling ALD nucleation on the substrate selectively. However, key limitations have limited the potential of AS-ALD as a patterning method. The choice of molecules for ALD blocking SAMs is sparse; furthermore, deficiency in the proper understanding of the SAM chemistry and its changes upon metal layer deposition further adds to the challenges. In this work, we have addressed the above challenges by using nanoscale infrared spectroscopy to investigate the potential of stearic acid (SA) as an ALD inhibiting SAM. We show that SA monolayers on Co and Cu substrates can inhibit ZnO ALD growth on par with other commonly used SAMs, which demonstrates its viability towards AS-ALD. We complement these measurements with AFM-IR, which is a surface-sensitive spatially resolved technique, to obtain spectral insights into the ALD-treated SAMs. The significant insight obtained from AFM-IR is that SA SAMs do not desorb or degrade with ALD, but rather undergo a change in substrate coordination modes, which can affect ALD growth on substrates.
Collapse
Affiliation(s)
- Saumya Satyarthy
- Department of Chemistry and Biochemistry, The University of Alabama, Tuscaloosa, AL 35487, USA; (S.S.); (M.H.U.I.)
| | - Md Hasan Ul Iqbal
- Department of Chemistry and Biochemistry, The University of Alabama, Tuscaloosa, AL 35487, USA; (S.S.); (M.H.U.I.)
| | - Fairoz Abida
- Department of Electrical and Computer Engineering, The University of Alabama, Tuscaloosa, AL 35487, USA; (F.A.); (M.M.-C.C.)
| | - Ridwan Nahar
- Department of Physics and Astronomy, The University of Alabama, Tuscaloosa, AL 35487, USA; (R.N.); (A.J.H.)
| | - Adam J. Hauser
- Department of Physics and Astronomy, The University of Alabama, Tuscaloosa, AL 35487, USA; (R.N.); (A.J.H.)
| | - Mark Ming-Cheng Cheng
- Department of Electrical and Computer Engineering, The University of Alabama, Tuscaloosa, AL 35487, USA; (F.A.); (M.M.-C.C.)
| | - Ayanjeet Ghosh
- Department of Chemistry and Biochemistry, The University of Alabama, Tuscaloosa, AL 35487, USA; (S.S.); (M.H.U.I.)
| |
Collapse
|
7
|
Oh IK, Khan AI, Qin S, Lee Y, Wong HSP, Pop E, Bent SF. Area-Selective Atomic Layer Deposition for Resistive Random-Access Memory Devices. ACS APPLIED MATERIALS & INTERFACES 2023; 15:43087-43093. [PMID: 37656599 DOI: 10.1021/acsami.3c05822] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 09/03/2023]
Abstract
Resistive random-access memory (RRAM) is a promising technology for data storage and neuromorphic computing; however, cycle-to-cycle and device-to-device variability limits its widespread adoption and high-volume manufacturability. Improving the structural accuracy of RRAM devices during fabrication can reduce these variabilities by minimizing the filamentary randomness within a device. Here, we studied area-selective atomic layer deposition (AS-ALD) of the HfO2 dielectric for the fabrication of RRAM devices with higher reliability and accuracy. Without requiring photolithography, first we demonstrated ALD of HfO2 patterns uniformly and selectively on Pt bottom electrodes for RRAM but not on the underlying SiO2/Si substrate. RRAM devices fabricated using AS-ALD showed significantly narrower operating voltage range (2.6 × improvement) and resistance states than control devices without AS-ALD, improving the overall reliability of RRAM. Irrespective of device size (1 × 1, 2 × 2, and 5 × 5 μm2), we observed similar improvement, which is an inherent outcome of the AS-ALD technique. Our demonstration of AS-ALD for improved RRAM devices could further encourage the adoption of such techniques for other data storage technologies, including phase-change, magnetic, and ferroelectric RAM.
Collapse
Affiliation(s)
- Il-Kwon Oh
- Department of Chemical Engineering, Stanford University, Stanford, California 94305, United States
- Department of Electrical and Computer Engineering, Ajou University, Suwon 16499, South Korea
- Department of Intelligence Semiconductor Engineering, Ajou University, Suwon 16499, South Korea
| | - Asir Intisar Khan
- Department of Electrical Engineering, Stanford University, Stanford, California 94305, United States
| | - Shengjun Qin
- Department of Electrical Engineering, Stanford University, Stanford, California 94305, United States
| | - Yujin Lee
- Department of Chemical Engineering, Stanford University, Stanford, California 94305, United States
| | - H-S Philip Wong
- Department of Electrical Engineering, Stanford University, Stanford, California 94305, United States
| | - Eric Pop
- Department of Electrical Engineering, Stanford University, Stanford, California 94305, United States
| | - Stacey F Bent
- Department of Chemical Engineering, Stanford University, Stanford, California 94305, United States
| |
Collapse
|
8
|
Choi Y, Kim HJ, Kim E, Kang H, Park J, Do YR, Kwak K, Cho M. Molecular Mechanism of Selective Al 2O 3 Atomic Layer Deposition on Self-Assembled Monolayers. ACS APPLIED MATERIALS & INTERFACES 2023; 15:41170-41179. [PMID: 37561063 DOI: 10.1021/acsami.3c09529] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 08/11/2023]
Abstract
Area-selective atomic layer deposition (AS-ALD) of insulating metallic oxide layers could be a useful nanopatterning technique for making increasingly complex semiconductor circuits. Although the alkanethiol self-assembled monolayer (SAM) has been considered promising as an ALD inhibitor, the low inhibition efficiency of the SAM during ALD processes makes its wide application difficult. We investigated the deposition mechanism of Al2O3 on alkanethiol-SAMs using temperature-dependent vibrational sum-frequency-generation spectroscopy. We found that the thermally induced formation of gauche defects in the SAMs is the main causative factor deteriorating the inhibition efficiency. Here, we demonstrate that a discontinuously temperature-controlled ALD technique involving self-healing and dissipation of thermally induced stress on the structure of SAM substantially enhances the SAM's inhibition efficiency and enables us to achieve 60 ALD cycles (6.6 nm). We anticipate that the present experimental results on the ALD mechanism on the SAM surface and the proposed ALD method will provide clues to improve the efficiency of AS-ALD, a promising nanoscale patterning and manufacturing technique.
Collapse
Affiliation(s)
- Youngjin Choi
- Center for Molecular Spectroscopy and Dynamics, Institute for Basic Science (IBS), Seoul 02841, Republic of Korea
- Department of Chemistry, Korea University, Seoul 02841, Republic of Korea
| | - Hyeng Jin Kim
- Department of Chemistry, Kookmin University, Seoul 02707, Republic of Korea
| | - Eunchan Kim
- Center for Molecular Spectroscopy and Dynamics, Institute for Basic Science (IBS), Seoul 02841, Republic of Korea
- Department of Chemistry, Korea University, Seoul 02841, Republic of Korea
| | - Huiyeong Kang
- Department of Chemistry, Kookmin University, Seoul 02707, Republic of Korea
| | - Junhyeok Park
- Department of Chemistry, Korea University, Seoul 02841, Republic of Korea
| | - Young Rag Do
- Department of Chemistry, Kookmin University, Seoul 02707, Republic of Korea
| | - Kyungwon Kwak
- Center for Molecular Spectroscopy and Dynamics, Institute for Basic Science (IBS), Seoul 02841, Republic of Korea
- Department of Chemistry, Korea University, Seoul 02841, Republic of Korea
| | - Minhaeng Cho
- Center for Molecular Spectroscopy and Dynamics, Institute for Basic Science (IBS), Seoul 02841, Republic of Korea
- Department of Chemistry, Korea University, Seoul 02841, Republic of Korea
| |
Collapse
|
9
|
Vale J, Sekkat A, Gheorghin T, Sevim S, Mavromanolaki E, Flouris AD, Pané S, Muñoz-Rojas D, Puigmartí-Luis J, Sotto Mayor T. Can We Rationally Design and Operate Spatial Atomic Layer Deposition Systems for Steering the Growth Regime of Thin Films? THE JOURNAL OF PHYSICAL CHEMISTRY. C, NANOMATERIALS AND INTERFACES 2023; 127:9425-9436. [PMID: 37223651 PMCID: PMC10201529 DOI: 10.1021/acs.jpcc.3c02262] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Grants] [Track Full Text] [Figures] [Subscribe] [Scholar Register] [Received: 04/05/2023] [Revised: 04/21/2023] [Indexed: 05/25/2023]
Abstract
Fine control over the growth of materials is required to precisely tailor their properties. Spatial atomic layer deposition (SALD) is a thin-film deposition technique that has recently attracted attention because it allows producing thin films with a precise number of deposited layers, while being vacuum-free and much faster than conventional atomic layer deposition. SALD can be used to grow films in the atomic layer deposition or chemical vapor deposition regimes, depending on the extent of precursor intermixing. Precursor intermixing is strongly influenced by the SALD head design and operating conditions, both of which affect film growth in complex ways, making it difficult to predict the growth regime prior to depositions. Here, we used numerical simulation to systematically study how to rationally design and operate SALD systems for growing thin films in different growth regimes. We developed design maps and a predictive equation allowing us to predict the growth regime as a function of the design parameters and operation conditions. The predicted growth regimes match those observed in depositions performed for various conditions. The developed design maps and predictive equation empower researchers in designing, operating, and optimizing SALD systems, while offering a convenient way to screen deposition parameters, prior to experimentation.
Collapse
Affiliation(s)
- João
Pedro Vale
- Transport
Phenomena Research Centre (CEFT), Engineering
Faculty of Porto University, Rua Dr Roberto Frias, 4200-465 Porto, Portugal
- Associate
Laboratory in Chemical Engineering (ALiCE), Engineering Faculty of Porto University, Rua Dr Roberto Frias, 4200-465 Porto, Portugal
| | - Abderrahime Sekkat
- Université
Grenoble Alpes, CNRS, Grenoble INP, LMGP, 38000 Grenoble, France
- Laboratoire
de Génie Chimique, Université
de Toulouse, CNRS, 31013 Toulouse, France
| | - Thomas Gheorghin
- Université
Grenoble Alpes, CNRS, Grenoble INP, LMGP, 38000 Grenoble, France
| | - Semih Sevim
- Multi-Scale
Robotics Lab, Institute of Robotics and
Intelligent Systems, ETH Zurich, Tannenstrasse 3, CH-8092 Zurich, Switzerland
| | | | - Andreas D. Flouris
- Discovery
Foundation, 70300 Heraklion, Crete, Greece
- FAME
Laboratory, Department of Physical Education and Sport Science, University of Thessaly, 38221 Volos, Greece
| | - Salvador Pané
- Multi-Scale
Robotics Lab, Institute of Robotics and
Intelligent Systems, ETH Zurich, Tannenstrasse 3, CH-8092 Zurich, Switzerland
| | - David Muñoz-Rojas
- Université
Grenoble Alpes, CNRS, Grenoble INP, LMGP, 38000 Grenoble, France
| | - Josep Puigmartí-Luis
- Departament
de Ciència Dels Materials i Química Física, Institut
de Química Teòrica i Computacional, University of Barcelona (UB), 08028 Barcelona, Spain
- Institució Catalana de Recerca i Estudis Avançats
(ICREA), Pg. Lluís
Companys 23, 08010 Barcelona, Spain
| | - Tiago Sotto Mayor
- Transport
Phenomena Research Centre (CEFT), Engineering
Faculty of Porto University, Rua Dr Roberto Frias, 4200-465 Porto, Portugal
- Associate
Laboratory in Chemical Engineering (ALiCE), Engineering Faculty of Porto University, Rua Dr Roberto Frias, 4200-465 Porto, Portugal
| |
Collapse
|
10
|
Karasulu B, Roozeboom F, Mameli A. High-Throughput Area-Selective Spatial Atomic Layer Deposition of SiO 2 with Interleaved Small Molecule Inhibitors and Integrated Back-Etch Correction for Low Defectivity. ADVANCED MATERIALS (DEERFIELD BEACH, FLA.) 2023:e2301204. [PMID: 37043671 DOI: 10.1002/adma.202301204] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 02/07/2023] [Revised: 04/07/2023] [Indexed: 06/19/2023]
Abstract
A first-of-its-kind area-selective deposition process for SiO2 is developed consisting of film deposition with interleaved exposures to small molecule inhibitors (SMIs) and back-etch correction steps, within the same spatial atomic layer deposition (ALD) tool. The synergy of these aspects results in selective SiO2 deposition up to ~23 nm with high selectivity and throughput, with SiO2 growth area and ZnO nongrowth area. The selectivity is corroborated by both X-ray photoelectron spectroscopy (XPS) and low-energy ion scattering spectroscopy (LEIS). The selectivity conferred by two different SMIs, ethylbutyric acid, and pivalic acid has been compared experimentally and theoretically. Density Functional Theory (DFT) calculations reveal that selective surface functionalization using both SMIs is predominantly controlled thermodynamically, while the better selectivity achieved when using trimethylacetic acid can be explained by its higher packing density compared to ethylbutyric acid. By employing the trimethylacetic acid as SMI on other starting surfaces (Ta2 O5 , ZrO2 , etc.) and probing the selectivity, a broader use of carboxylic acid inhibitors for different substrates is demonstrated. It is believed that the current results highlight the subtleties in SMI properties such as size, geometry, and packing, as well as interleaved back-etch steps, which are key in developing ever more effective strategies for highly selective deposition processes.
Collapse
Affiliation(s)
- Bora Karasulu
- Department of Chemistry, University of Warwick, Gibbet Hill Road, Coventry, CV4 7AL, UK
| | - Fred Roozeboom
- Faculty of Science and Technology, University of Twente, HENGELOSESTRAAT, Enschede, 7500 AE, The Netherlands
| | - Alfredo Mameli
- TNO-Holst Centre, High Tech Campus 31, Eindhoven, 6565 AE, The Netherlands
| |
Collapse
|
11
|
Yun S, Ou F, Wang H, Tom M, Orkoulas G, Christofides PD. Atomistic-mesoscopic modeling of area-selective thermal atomic layer deposition. Chem Eng Res Des 2022. [DOI: 10.1016/j.cherd.2022.09.051] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/29/2022]
|
12
|
Abstract
Zeolites with ordered microporous systems, distinct framework topologies, good spatial nanoconfinement effects, and superior (hydro)thermal stability are an ideal scaffold for planting diverse active metal species, including single sites, clusters, and nanoparticles in the framework and framework-associated sites and extra-framework positions, thus affording the metal-in-zeolite catalysts outstanding activity, unique shape selectivity, and enhanced stability and recyclability in the processes of Brønsted acid-, Lewis acid-, and extra-framework metal-catalyzed reactions. Especially, thanks to the advances in zeolite synthesis and characterization techniques in recent years, zeolite-confined extra-framework metal catalysts (denoted as metal@zeolite composites) have experienced rapid development in heterogeneous catalysis, owing to the combination of the merits of both active metal sites and zeolite intrinsic properties. In this review, we will present the recent developments of synthesis strategies for incorporating and tailoring of active metal sites in zeolites and advanced characterization techniques for identification of the location, distribution, and coordination environment of metal species in zeolites. Furthermore, the catalytic applications of metal-in-zeolite catalysts are demonstrated, with an emphasis on the metal@zeolite composites in hydrogenation, dehydrogenation, and oxidation reactions. Finally, we point out the current challenges and future perspectives on precise synthesis, atomic level identification, and practical application of the metal-in-zeolite catalyst system.
Collapse
Affiliation(s)
- Qiang Zhang
- State Key Laboratory of Inorganic Synthesis and Preparative Chemistry, College of Chemistry, Jilin University, 2699 Qianjin Street, Changchun 130012, P. R. China.,International Center of Future Science, Jilin University, 2699 Qianjin Street, Changchun 130012, P. R. China
| | - Shiqin Gao
- State Key Laboratory of Inorganic Synthesis and Preparative Chemistry, College of Chemistry, Jilin University, 2699 Qianjin Street, Changchun 130012, P. R. China.,International Center of Future Science, Jilin University, 2699 Qianjin Street, Changchun 130012, P. R. China
| | - Jihong Yu
- State Key Laboratory of Inorganic Synthesis and Preparative Chemistry, College of Chemistry, Jilin University, 2699 Qianjin Street, Changchun 130012, P. R. China.,International Center of Future Science, Jilin University, 2699 Qianjin Street, Changchun 130012, P. R. China
| |
Collapse
|
13
|
Koerner G, Wyatt QK, Bateman B, Boyle C, Young MJ, Maschmann MR. Area‐selective atomic layer deposition on HOPG enabled by writable electron beam functionalization. NANO SELECT 2022. [DOI: 10.1002/nano.202200091] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/10/2022] Open
Affiliation(s)
- Gordon Koerner
- Department of Mechanical & Aerospace Engineering University of Missouri Columbia Missouri USA
| | - Quinton K. Wyatt
- Department of Chemistry University of Missouri Columbia Missouri USA
| | - Brady Bateman
- Berea College, Physics Program Berea College Berea Kentucky USA
| | - Camden Boyle
- Department of Mechanical & Aerospace Engineering University of Missouri Columbia Missouri USA
| | - Matthias J. Young
- Department of Biomedical University of Missouri Biological, and Chemical Engineering Columbia Missouri USA
- Department of Chemistry University of Missouri Columbia Missouri USA
| | - Matthew R. Maschmann
- Department of Mechanical & Aerospace Engineering University of Missouri Columbia Missouri USA
| |
Collapse
|
14
|
Merkx MJ, Angelidis A, Mameli A, Li J, Lemaire PC, Sharma K, Hausmann DM, Kessels WMM, Sandoval TE, Mackus AJM. Relation between Reactive Surface Sites and Precursor Choice for Area-Selective Atomic Layer Deposition Using Small Molecule Inhibitors. THE JOURNAL OF PHYSICAL CHEMISTRY. C, NANOMATERIALS AND INTERFACES 2022; 126:4845-4853. [PMID: 35330759 PMCID: PMC8935369 DOI: 10.1021/acs.jpcc.1c10816] [Citation(s) in RCA: 3] [Impact Index Per Article: 1.5] [Reference Citation Analysis] [Abstract] [Grants] [Track Full Text] [Figures] [Subscribe] [Scholar Register] [Received: 12/23/2021] [Revised: 02/15/2022] [Indexed: 06/14/2023]
Abstract
Implementation of vapor/phase dosing of small molecule inhibitors (SMIs) in advanced atomic layer deposition (ALD) cycles is currently being considered for bottom-up fabrication by area-selective ALD. When SMIs are used, it can be challenging to completely block precursor adsorption due to the inhibitor size and the relatively short vapor/phase exposures. Two strategies for precursor blocking are explored: (i) physically covering precursor adsorption sites, i.e., steric shielding, and (ii) eliminating precursor adsorption sites from the surface, i.e., chemical passivation. In this work, it is determined whether steric shielding is enough for effective precursor blocking during area-selective ALD or whether chemical passivation is required as well. At the same time, we address why some ALD precursors are more difficult to block than others. To this end, the blocking of the Al precursor molecules trimethylaluminum (TMA), dimethylaluminum isopropoxide (DMAI), and tris(dimethylamino)aluminum (TDMAA) was studied by using acetylacetone (Hacac) as inhibitor. It was found that DMAI and TDMAA are more easily blocked than TMA because they adsorb on the same surface sites as Hacac, while TMA is also reactive with other surface sites. This work shows that chemical passivation plays a crucial role for precursor blocking in concert with steric shielding. Moreover, the reactivity of the precursor with the surface groups on the non-growth area dictates the effectiveness of blocking precursor adsorption.
Collapse
Affiliation(s)
- Marc J.
M. Merkx
- Department
of Applied Physics, Eindhoven University
of Technology, 5600MB Eindhoven, The Netherlands
| | - Athanasios Angelidis
- Department
of Applied Physics, Eindhoven University
of Technology, 5600MB Eindhoven, The Netherlands
| | | | - Jun Li
- Department
of Applied Physics, Eindhoven University
of Technology, 5600MB Eindhoven, The Netherlands
| | - Paul C. Lemaire
- Lam
Research Corporation, Tualatin, Oregon 97062, United States
| | - Kashish Sharma
- Lam
Research Corporation, Tualatin, Oregon 97062, United States
| | | | - Wilhelmus M. M. Kessels
- Department
of Applied Physics, Eindhoven University
of Technology, 5600MB Eindhoven, The Netherlands
| | - Tania E. Sandoval
- Department
of Chemical and Environmental Engineering, Universidad Técnica Federico Santa María, 8940000 Santiago, Chile
| | - Adriaan J. M. Mackus
- Department
of Applied Physics, Eindhoven University
of Technology, 5600MB Eindhoven, The Netherlands
| |
Collapse
|
15
|
Bonvalot M, Vallée C, Mannequin C, Jaffal M, Gassilloud R, Possémé N, Chevolleau T. Area selective deposition using alternate deposition and etch super-cycle strategies. Dalton Trans 2021; 51:442-450. [PMID: 34878446 DOI: 10.1039/d1dt03456a] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.3] [Reference Citation Analysis] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 01/01/2023]
Abstract
Area selective deposition (ASD) is a bottom-up process leading to a uniform deposition in only desired areas of a patterned substrate, avoiding the use of photolithography for patterning. However, whatever the strategy used to develop selective deposition by atomic layer deposition, there always comes a time when selectivity becomes defective and growth in undesired substrate areas must be corrected. This leads to the design of ASD by super-cycle alternating deposition and etch. Recent examples from the literature show a great diversity in the design of the etching step and indicate that the optimization of selective deposition by super-cycles is only possible through a careful optimization of the etching step parameters (chemistry, frequency, duration, etc.). In this paper, we discuss how to optimize this step and we show that different approaches can be developed to optimize the overall ASD process throughput, while simultaneously limiting process drift and contamination. We also show that complementary selective properties can prove a valuable leverage enabling ASD processes based on super-cycles, such as structure selective deposition, whereby a difference in thin film morphology in growth and non-growth areas can be smartly taken advantage of during the etching step.
Collapse
Affiliation(s)
- Marceline Bonvalot
- University Grenoble Alpes, CNRS, LTM, Grenoble INP, F-38054 Grenoble Cedex, France. .,Institute of Applied Physics, Faculty of Pure and Applied Sciences, University of Tsukuba, Tsukuba 305-8573, Japan
| | - Christophe Vallée
- University Grenoble Alpes, CNRS, LTM, Grenoble INP, F-38054 Grenoble Cedex, France. .,SUNY POLY, CNSE, Albany, New York 12203, USA.
| | - Cédric Mannequin
- Institute of Applied Physics, Faculty of Pure and Applied Sciences, University of Tsukuba, Tsukuba 305-8573, Japan
| | - Moustapha Jaffal
- University Grenoble Alpes, CNRS, LTM, Grenoble INP, F-38054 Grenoble Cedex, France.
| | | | | | - Thierry Chevolleau
- University Grenoble Alpes, CNRS, LTM, Grenoble INP, F-38054 Grenoble Cedex, France.
| |
Collapse
|
16
|
Mehrabi H, Eddy CG, Hollis TI, Vance JN, Coridan RH. Controlled exposure of CuO thin films through corrosion-protecting, ALD-deposited TiO2 overlayers. ZEITSCHRIFT FUR NATURFORSCHUNG SECTION B-A JOURNAL OF CHEMICAL SCIENCES 2021. [DOI: 10.1515/znb-2021-0117] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 11/15/2022]
Abstract
Abstract
Ultra-thin film coatings are used to protect semiconductor photoelectrodes from the harsh chemical environments common to photoelectrochemical energy conversion. These layers add contact transfer resistance to the interface that can result in a reduction of photoelectrochemical energy conversion efficiency of the photoelectrode. Here, we describe the concept of a partial protection layer, which allows for direct chemical access to a small fraction of the semiconductor underlayer for further functionalization by an electrocatalyst. The rest of the interface remains protected by a stable, inert protection layer. CuO is used as a model system for this scheme. Atomic layer deposition (ALD)-prepared TiO2 layers on CuO thin films prepared from electrodeposited Cu2O allow for the control of interfacial morphology to intentionally expose the CuO underlayer. The ALD-TiO2 overlayer shrinks during crystallization, while Cu2O in the underlayer expands during oxidation. As a result, the TiO2 protection layer cracks to expose the oxidized underlying CuO layer, which can be controlled by preceding thermal oxidation. This work demonstrates a potentially promising strategy for the parallel optimization of photoelectrochemical interfaces for chemical stability and high performance.
Collapse
Affiliation(s)
- Hamed Mehrabi
- Materials Science and Engineering Program , University of Arkansas , Fayetteville , AR 72701 , USA
| | - Caroline G. Eddy
- Department of Chemistry and Biochemistry , University of Arkansas , Fayetteville , AR 72701 , USA
| | - Thomas I. Hollis
- Department of Chemistry and Biochemistry , University of Arkansas , Fayetteville , AR 72701 , USA
| | - Jalyn N. Vance
- Department of Chemistry and Biochemistry , University of Arkansas , Fayetteville , AR 72701 , USA
| | - Robert H. Coridan
- Materials Science and Engineering Program , University of Arkansas , Fayetteville , AR 72701 , USA
- Department of Chemistry and Biochemistry , University of Arkansas , Fayetteville , AR 72701 , USA
| |
Collapse
|
17
|
Paez-Ornelas JI, Fernández-Escamilla HN, Borbón-Nuñez HA, Tiznado H, Takeuchi N, Guerrero-Sánchez J. A first-principles study of the atomic layer deposition of ZnO on carboxyl functionalized carbon nanotubes: the role of water molecules. Phys Chem Chem Phys 2021; 23:3467-3478. [PMID: 33507181 DOI: 10.1039/d0cp05283c] [Citation(s) in RCA: 2] [Impact Index Per Article: 0.7] [Reference Citation Analysis] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/21/2022]
Abstract
The formation of heterostructures that combine a large surface area with high surface activity has attracted the attention of the scientific community due to the unique properties and applications of these heterostructures. In this work, we describe - at the atomic level - the full reaction mechanisms involved in the atomic layer deposition of a hybrid ZnO/CNT inorganic structure. First, the pristine CNTs are chemically activated with a carboxylic acid, a process unique to carbon materials. Diethylzinc (DEZ) and water are used as gas-phase precursors to form ZnO. Our findings show that DEZ is physically adsorbed on the CNTs during the exposure of the first precursor. The ligand-exchange to generate chemisorbed ethyl zinc on the O side of the COOH group needs to overcome an energy barrier of 0.06 eV. This is a very small energy if compared to the values (0.5-0.6 eV) obtained in previous studies for OH functionalized surfaces. The height of the barrier is associated with the C[double bond, length as m-dash]O side, which mediates the H proton's exchange from the OH group to the C2H5 ligand. Furthermore, upon exposure to the oxidizing agent (H2O), ethyl zinc exchanges its last ligand as ethane, and it accepts a hydroxyl group through a self-limiting reaction with an energy barrier of 0.88 eV. Notice that the energy barrier of the second ligand-exchange is larger than of the first. We have also analyzed the effect in the saturation of the second precursor: as the quantity of water molecules increases, the long-range interactions tend to repel them. However, the energy barrier of the second ligand-exchange decreases from 1.53 eV to 0.88 eV for one and two water molecules, showing a clear dependence on the oxidizing agent. Non-covalent interactions are used as a tool to visualize the driving forces that take place during each partial reaction in real space. Our study points out the importance of using the right functionalization agent to achieve a controlled and conformal ALD growth at the initial steps of the formation of hybrid ZnO/CNT structures, as well as the role played by the oxidizing agent to lower the energy barrier on the second ALD step.
Collapse
Affiliation(s)
- J I Paez-Ornelas
- Centro de Investigación Científica y de Educación Superior de Ensenada, Carretera, Tijuana-Ensenada 3918, Apdo. Postal 22860, Ensenada, B.C., Mexico
| | | | | | | | | | | |
Collapse
|
18
|
Cho TH, Farjam N, Allemang CR, Pannier CP, Kazyak E, Huber C, Rose M, Trejo O, Peterson RL, Barton K, Dasgupta NP. Area-Selective Atomic Layer Deposition Patterned by Electrohydrodynamic Jet Printing for Additive Manufacturing of Functional Materials and Devices. ACS NANO 2020; 14:17262-17272. [PMID: 33216539 DOI: 10.1021/acsnano.0c07297] [Citation(s) in RCA: 8] [Impact Index Per Article: 2.0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/11/2023]
Abstract
There is an increasing interest in additive nanomanufacturing processes, which enable customizable patterning of functional materials and devices on a wide range of substrates. However, there are relatively few techniques with the ability to directly 3D print patterns of functional materials with sub-micron resolution. In this study, we demonstrate the use of additive electrohydrodynamic jet (e-jet) printing with an average line width of 312 nm, which acts as an inhibitor for area-selective atomic layer deposition (AS-ALD) of a range of metal oxides. We also demonstrate subtractive e-jet printing with solvent inks that dissolve polymer inhibitor layers in specific regions, which enables localized AS-ALD within those regions. The chemical selectivity and morphology of e-jet patterned polymers towards binary and ternary oxides of ZnO, Al2O3, and SnO2 were quantified using X-ray photoelectron spectroscopy, atomic force microscopy, and Auger electron spectroscopy. This approach enables patterning of functional oxide semiconductors, insulators, and transparent conducting oxides with tunable composition, Å-scale control of thickness, and sub-μm resolution in the x-y plane. Using a combination of additive and subtractive e-jet printing with AS-ALD, a thin-film transistor was fabricated using zinc-tin-oxide for the semiconductor channel and aluminum-doped zinc oxide as the source and drain electrical contacts. In the future, this technique can be used to print integrated electronics with sub-micron resolution on a variety of substrates.
Collapse
|
19
|
Zheng L, He W, Spampinato V, Franquet A, Sergeant S, Gendt SD, Armini S. Area-Selective Atomic Layer Deposition of TiN Using Trimethoxy(octadecyl)silane as a Passivation Layer. LANGMUIR : THE ACS JOURNAL OF SURFACES AND COLLOIDS 2020; 36:13144-13154. [PMID: 33104359 DOI: 10.1021/acs.langmuir.0c00741] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/11/2023]
Abstract
Area-selective deposition (ASD) offers tremendous advantages when compared with conventional patterning processes, such as the possibility of achieving three-dimensional features in a bottom-up additive fashion. Recently, ASD is gaining more and more attention from IC manufacturers and equipment and material suppliers. Through combination of self-assembled monolayer (SAM) surface passivation of the nongrowth substrate area and atomic layer deposition (ALD) on the growth area, ASD selective to the growth area can be achieved. With the purpose of screening SAM precursors to provide optimal passivation performance on SiO2, various siloxane precursors with different terminal groups and alkyl chains were investigated. Additionally, the surface dependence and growth inhibition of TiN ALD on -NH2, -CF3, and -CH3 terminations is investigated. We demonstrated the methyl termination of the SAM precursor combined with a C18 alkyl chain plays an important role in broadening the ALD selectivity window by suppressing precursor adsorption. Owing to the high surface coverage, excellent thermal stability and longer carbon chain length, an optimized trimethoxy(octadecyl)silane (TMODS) film deposited from liquid phase was able to provide a selectivity higher than 0.99 up to 20 nm ALD film deposited on hydroxyl-terminated Si oxide. The approach followed in this work can allow extending the ASD process window, and it is relevant for a wide variety of applications.
Collapse
Affiliation(s)
- Li Zheng
- Interuniversity Microelectronics Centre, Kapeldreef 75, B-3001 Leuven, Belgium
- School of Materials and Energy, University of Electronic Science and Technology of China, Chengdu 610054, China
| | - Wei He
- School of Materials and Energy, University of Electronic Science and Technology of China, Chengdu 610054, China
| | | | - Alexis Franquet
- Interuniversity Microelectronics Centre, Kapeldreef 75, B-3001 Leuven, Belgium
| | - Stefanie Sergeant
- Interuniversity Microelectronics Centre, Kapeldreef 75, B-3001 Leuven, Belgium
| | - Stefan De Gendt
- Interuniversity Microelectronics Centre, Kapeldreef 75, B-3001 Leuven, Belgium
| | - Silvia Armini
- Interuniversity Microelectronics Centre, Kapeldreef 75, B-3001 Leuven, Belgium
| |
Collapse
|
20
|
Abstract
In recent years, atomic layer deposition (ALD) is widely used for surface modification of materials to improve the catalytic performance for removing pollutants, e.g., CO, hydrocarbons, heavy metal ions, and organic pollutants, and much progress has been achieved. In this review, we summarize the recent development of ALD applications in environmental remediation from the perspective of surface modification approaches, including conformal coating, uniform particle deposition, and area-selective deposition. Through the ALD conformal coating, the activity of photocatalysts improved. Uniform particle deposition is used to prepare nanostructured catalysts via ALD for removal of air pollutions and dyes. Area-selective deposition is adopted to cover the specific defects on the surface of materials and synthesize bimetallic catalysts to remove CO and other contaminations. In addition, the design strategy of catalysts and shortcomings of current studies are discussed in each section. At last, this review points out some potential research trends and comes up with a few routes to further improve the performance of catalysts via ALD surface modification and deeper investigate the ALD reaction mechanisms.
Collapse
|
21
|
Kovaleva EG, Molochnikov LS, Tambasova D, Marek A, Chestnut M, Osipova VA, Antonov DO, Kirilyuk IA, Smirnov AI. Electrostatic properties of inner nanopore surfaces of anodic aluminum oxide membranes upon high temperature annealing revealed by EPR of pH-sensitive spin probes and labels. J Memb Sci 2020. [DOI: 10.1016/j.memsci.2020.118084] [Citation(s) in RCA: 5] [Impact Index Per Article: 1.3] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 10/24/2022]
|
22
|
Gu C, Zhang JL, Sun S, Lian X, Ma Z, Mao H, Guo L, Wang Y, Chen W. Molecular-Scale Investigation of the Thermal and Chemical Stability of Monolayer PTCDA on Cu(111) and Cu(110). ACS APPLIED MATERIALS & INTERFACES 2020; 12:22327-22334. [PMID: 32314565 DOI: 10.1021/acsami.0c02590] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.3] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/11/2023]
Abstract
Perylene-3,4,9,10-tetracarboxylic dianhydride (PTCDA) has been intensively investigated for decades because of its unique electronic and optical properties and its applications in organic electronics and surface engineering and passivation of 2D materials. Recently, the high demand for achieving selective area deposition in device fabrications drives the research of utilizing organic molecules as a passivation layer on metals in the semiconductor industry. PTCDA molecules show promising potential to be used as a passivation layer on a metal surface because of their ability to form self-assembled compact lying-down layers with the well-exposed inert conjugated molecular π-plane. However, the thermal and chemical stabilities of monolayer PTCDA on metal surfaces have not been thoroughly studied. In this paper, we demonstrate that monolayer PTCDA on Cu(110) and Cu(111) surfaces exhibit good thermal and chemical stabilities, as revealed through the combination of in situ X-ray photoelectron spectroscopy and in situ low-temperature scanning tunneling microscopy measurements. We show that monolayer PTCDA on copper is stable up to 220 °C and decomposes to perylene at higher temperature. Monolayer PTCDA also shows good chemical stability when exposed to O2 and water, demonstrating good potential for its future applications as passivation layers in selective area deposition.
Collapse
Affiliation(s)
- Chengding Gu
- Department of Chemistry, National University of Singapore, 3 Science Drive 3, 117543, Singapore
| | - Jia Lin Zhang
- Department of Chemistry, National University of Singapore, 3 Science Drive 3, 117543, Singapore
- Department of Physics, National University of Singapore, 2 Science Drive 3, 117542, Singapore
| | - Shuo Sun
- Department of Physics, National University of Singapore, 2 Science Drive 3, 117542, Singapore
| | - Xu Lian
- Department of Chemistry, National University of Singapore, 3 Science Drive 3, 117543, Singapore
| | - Zhirui Ma
- Department of Chemistry, National University of Singapore, 3 Science Drive 3, 117543, Singapore
| | - Hongying Mao
- Department of Physics, Hangzhou Normal University, No. 2318, Yuhangtang Rd, Hangzhou, Zhejiang 311121, China
| | - Lu Guo
- Pillar of Engineering Product Development (EPD), Singapore University of Technology and Design, 8 Somapah Road, 487372, Singapore
| | - Yongping Wang
- Department of Chemistry, National University of Singapore, 3 Science Drive 3, 117543, Singapore
| | - Wei Chen
- Department of Chemistry, National University of Singapore, 3 Science Drive 3, 117543, Singapore
- Department of Physics, National University of Singapore, 2 Science Drive 3, 117542, Singapore
- Joint School of National University of Singapore and Tianjin University, International Campus of Tianjin University, Binhai New City, Fuzhou 350207, China
- National University of Singapore (Suzhou) Research Institute, 377 Lin Quan Street, Suzhou Industrial Park, Suzhou 215123, China
| |
Collapse
|
23
|
Cao K, Cai J, Shan B, Chen R. Surface functionalization on nanoparticles via atomic layer deposition. Sci Bull (Beijing) 2020; 65:678-688. [PMID: 36659137 DOI: 10.1016/j.scib.2020.01.016] [Citation(s) in RCA: 14] [Impact Index Per Article: 3.5] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Received: 10/24/2019] [Revised: 12/01/2019] [Accepted: 12/20/2019] [Indexed: 01/21/2023]
Abstract
As an ultrathin film preparation method, atomic layer deposition (ALD) has recently found versatile applications in fields beyond semiconductors, such as energy, environment, catalysis and so on. The design, preparation and characterization of thin film applied in the emerging fields have attracted great interests. The development of ALD technique on particles opens up a broad horizon in the advanced nanofabrication. Pioneering applications are exploring conformal coating, porous coating and selective surface modification of nanoparticles. Conformal encapsulation of particles is a major application to protect materials with ultrathin films from being eroded by the external environment while keeping the original properties of the primary particles. Porous coating has been developed to simultaneously expose the particles' surface and provide nanopores, which is another important method that demonstrates its advantages in modification of electrode materials, catalysis and energy applications, etc. Selective ALD takes the method forward in order to precisely control the directionality of decoration sites on the particles and selectively passivate undesired facets, sites, or defects. Such methods provide practical strategies for atomic scale and precise surface functionalization on particles and greatly expand its potential applications.
Collapse
Affiliation(s)
- Kun Cao
- State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074, China
| | - Jiaming Cai
- State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074, China
| | - Bin Shan
- State Key Laboratory of Material Processing and Die & Mould Technology, School of Materials Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074, China
| | - Rong Chen
- State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074, China.
| |
Collapse
|
24
|
Suh T, Yang Y, Zhao P, Lao KU, Ko HY, Wong J, DiStasio RA, Engstrom JR. Competitive Adsorption as a Route to Area-Selective Deposition. ACS APPLIED MATERIALS & INTERFACES 2020; 12:9989-9999. [PMID: 32043857 DOI: 10.1021/acsami.9b22065] [Citation(s) in RCA: 3] [Impact Index Per Article: 0.8] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/10/2023]
Abstract
In this work, we have explored the use of a third species during chemical vapor deposition (CVD) to direct thin-film growth to occur exclusively on one surface in the presence of another. Using a combination of density functional theory (DFT) calculations and experiments, including in situ surface analysis, we have examined the use of 4-octyne as a coadsorbate in the CVD of ZrO2 thin films on SiO2 and Cu surfaces. At sufficiently high partial pressures of the coadsorbate and sufficiently low substrate temperatures, we find that 4-octyne can effectively compete for adsorption sites, blocking chemisorption of the thin-film precursor, Zr[N(CH3C2H5)]4, and preventing growth on Cu, while leaving growth unimpeded on SiO2. The selective dielectric-on-dielectric (DoD) process developed herein is fast, totally vapor phase, and does not negatively alter the composition or morphology of the deposited thin film. We argue that this approach to area-selective deposition (ASD) should be widely applicable, provided that suitable candidates for preferential binding can be identified.
Collapse
|
25
|
Direct functionalizing of acrylonitrile-butadiene rubber surfaces through different peroxide curing. REACT FUNCT POLYM 2020. [DOI: 10.1016/j.reactfunctpolym.2019.104446] [Citation(s) in RCA: 3] [Impact Index Per Article: 0.8] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/15/2022]
|
26
|
Mackus AJM, Merkx MJM, Kessels WMM. From the Bottom-Up: Toward Area-Selective Atomic Layer Deposition with High Selectivity. CHEMISTRY OF MATERIALS : A PUBLICATION OF THE AMERICAN CHEMICAL SOCIETY 2019; 31:2-12. [PMID: 30774194 PMCID: PMC6369656 DOI: 10.1021/acs.chemmater.8b03454] [Citation(s) in RCA: 81] [Impact Index Per Article: 16.2] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Received: 08/13/2018] [Revised: 11/25/2018] [Indexed: 05/19/2023]
Abstract
Bottom-up nanofabrication by area-selective atomic layer deposition (ALD) is currently gaining momentum in semiconductor processing, because of the increasing need for eliminating the edge placement errors of top-down processing. Moreover, area-selective ALD offers new opportunities in many other areas such as the synthesis of catalysts with atomic-level control. This Perspective provides an overview of the current developments in the field of area-selective ALD, discusses the challenge of achieving a high selectivity, and provides a vision for how area-selective ALD processes can be improved. A general cause for the loss of selectivity during deposition is that the character of surfaces on which no deposition should take place changes when it is exposed to the ALD chemistry. A solution is to implement correction steps during ALD involving for example surface functionalization or selective etching. This leads to the development of advanced ALD cycles by combining conventional two-step ALD cycles with correction steps in multistep cycle and/or supercycle recipes.
Collapse
|
27
|
Mameli A, Verheijen MA, Mackus AJM, Kessels WMM, Roozeboom F. Isotropic Atomic Layer Etching of ZnO Using Acetylacetone and O 2 Plasma. ACS APPLIED MATERIALS & INTERFACES 2018; 10:38588-38595. [PMID: 30286289 PMCID: PMC6225338 DOI: 10.1021/acsami.8b12767] [Citation(s) in RCA: 2] [Impact Index Per Article: 0.3] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 05/16/2023]
Abstract
Atomic layer etching (ALE) provides Ångström-level control over material removal and holds potential for addressing the challenges in nanomanufacturing faced by conventional etching techniques. Recent research has led to the development of two main classes of ALE: ion-driven plasma processes yielding anisotropic (or directional) etch profiles and thermally driven processes for isotropic material removal. In this work, we extend the possibilities to obtain isotropic etching by introducing a plasma-based ALE process for ZnO which is radical-driven and utilizes acetylacetone (Hacac) and O2 plasma as reactants. In situ spectroscopic ellipsometry measurements indicate self-limiting half-reactions with etch rates ranging from 0.5 to 1.3 Å/cycle at temperatures between 100 and 250 °C. The ALE process was demonstrated on planar and three-dimensional substrates consisting of a regular array of semiconductor nanowires (NWs) conformally covered using atomic layer deposition of ZnO. Transmission electron microscopy studies conducted on the ZnO-covered NWs before and after ALE proved the isotropic nature and the damage-free characteristics of the process. In situ infrared spectroscopy measurements were used to elucidate the self-limiting nature of the ALE half-reactions and the reaction mechanism. During the Hacac etching reaction that is assumed to produce Zn(acac)2, carbonaceous species adsorbed on the ZnO surface are suggested as the cause of the self-limiting behavior. The subsequent O2 plasma step resets the surface for the next ALE cycle. High etch selectivities (∼80:1) over SiO2 and HfO2 were demonstrated. Preliminary results indicate that the etching process can be extended to other oxides such as Al2O3.
Collapse
Affiliation(s)
- A. Mameli
- Department
of Applied Physics, Eindhoven University
of Technology, P.O. Box 513, Eindhoven 5600 MB, The Netherlands
| | - M. A. Verheijen
- Department
of Applied Physics, Eindhoven University
of Technology, P.O. Box 513, Eindhoven 5600 MB, The Netherlands
| | - A. J. M. Mackus
- Department
of Applied Physics, Eindhoven University
of Technology, P.O. Box 513, Eindhoven 5600 MB, The Netherlands
| | - W. M. M. Kessels
- Department
of Applied Physics, Eindhoven University
of Technology, P.O. Box 513, Eindhoven 5600 MB, The Netherlands
| | - F. Roozeboom
- Department
of Applied Physics, Eindhoven University
of Technology, P.O. Box 513, Eindhoven 5600 MB, The Netherlands
- TNO-Holst
Centre, High Tech Campus
21, Eindhoven 5656 AE, The Netherlands
- E-mail:
| |
Collapse
|
28
|
Affiliation(s)
- Bin Zhang
- State Key Laboratory of Coal Conversion, Institute of Coal Chemistry, Chinese Academy of Sciences, Taiyuan 030001, China
| | - Yong Qin
- State Key Laboratory of Coal Conversion, Institute of Coal Chemistry, Chinese Academy of Sciences, Taiyuan 030001, China
| |
Collapse
|
29
|
Lee JH, Choi HJ, Lee C, Song SW, Lee JB, Huh D, Nam YS, Jeon DY, Lee H, Jung YS. Spontaneous Registration of Sub-10 nm Features Based on Subzero Celsius Spin-Casting of Self-Assembling Building Blocks Directed by Chemically Encoded Surfaces. ACS NANO 2018; 12:8224-8233. [PMID: 30067895 DOI: 10.1021/acsnano.8b03378] [Citation(s) in RCA: 4] [Impact Index Per Article: 0.7] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/08/2023]
Abstract
For low-cost and facile fabrication of innovative nanoscale devices with outstanding functionality and performance, it is critical to develop more practical patterning solutions that are applicable to a wide range of materials and feature sizes while minimizing detrimental effects by processing conditions. In this study, we report that area-selective sub-10 nm pattern formation can be realized by temperature-controlled spin-casting of block copolymers (BCPs) combined with submicron-scale-patterned chemical surfaces. Compared to conventional room-temperature spin-casting, the low temperature ( e.g., -5 °C) casting of the BCP solution on the patterned self-assembled monolayer achieved substantially improved area selectivity and uniformity, which can be explained by optimized solvent evaporation kinetics during the last stage of film formation. Moreover, the application of cold spin-casting can also provide high-yield in situ patterning of light-emitting CdSe/ZnS quantum dot thin films, indicating that this temperature-optimized spin-casting strategy would be highly effective for tailored patterning of diverse organic and hybrid materials in solution phase.
Collapse
Affiliation(s)
- Jung Hye Lee
- Department of Materials Science and Engineering , Korea Advanced Institute of Science and Technology (KAIST) , 291 Daehak-ro , Yuseong-gu, Daejeon 34141 , Republic of Korea
| | - Hak-Jong Choi
- Department of Materials Science and Engineering , Korea University , 145 Anam-ro , Seongbuk-gu, Seoul 02841 , Republic of Korea
| | - ChulHee Lee
- Department of Materials Science and Engineering , Korea Advanced Institute of Science and Technology (KAIST) , 291 Daehak-ro , Yuseong-gu, Daejeon 34141 , Republic of Korea
| | - Seung Won Song
- Department of Materials Science and Engineering , Korea Advanced Institute of Science and Technology (KAIST) , 291 Daehak-ro , Yuseong-gu, Daejeon 34141 , Republic of Korea
| | - Joong Bum Lee
- Department of Materials Science and Engineering , Korea Advanced Institute of Science and Technology (KAIST) , 291 Daehak-ro , Yuseong-gu, Daejeon 34141 , Republic of Korea
| | - Daihong Huh
- Department of Materials Science and Engineering , Korea University , 145 Anam-ro , Seongbuk-gu, Seoul 02841 , Republic of Korea
| | - Yoon Sung Nam
- Department of Materials Science and Engineering , Korea Advanced Institute of Science and Technology (KAIST) , 291 Daehak-ro , Yuseong-gu, Daejeon 34141 , Republic of Korea
| | - Duk Young Jeon
- Department of Materials Science and Engineering , Korea Advanced Institute of Science and Technology (KAIST) , 291 Daehak-ro , Yuseong-gu, Daejeon 34141 , Republic of Korea
| | - Heon Lee
- Department of Materials Science and Engineering , Korea University , 145 Anam-ro , Seongbuk-gu, Seoul 02841 , Republic of Korea
| | - Yeon Sik Jung
- Department of Materials Science and Engineering , Korea Advanced Institute of Science and Technology (KAIST) , 291 Daehak-ro , Yuseong-gu, Daejeon 34141 , Republic of Korea
| |
Collapse
|
30
|
Ruff P, Dietz C, Stark RW, Hess C. Monitoring the Process of Nanocavity Formation on a Monomolecular Level. Z PHYS CHEM 2018. [DOI: 10.1515/zpch-2017-1055] [Citation(s) in RCA: 2] [Impact Index Per Article: 0.3] [Reference Citation Analysis] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/15/2022]
Abstract
Abstract
Controlling the synthesis of nanostructured surfaces is essential to tailor the properties of functional materials such as catalysts. We report on the synthesis of nanocavities of 1–2 nm dimension on planar Si-wafers by sacrificial nanotemplating and atomic layer deposition (ALD). It is shown that the process of nanocavity formation can be directly monitored on a monomolecular level through imaging with an atomic force microscope (AFM). In particular, by employing the AFM peak force tapping mode the simultaneous mapping of surface topography and tip-surface adhesion forces is accessible, which is useful for the assignment of topographical features and determining the orientation of the template molecules on the wafer surface. Detailed analysis based on the three-dimensional AFM topography allows for a quantification of the template and nanocavity surface coverage. The results are of importance for a detailed understanding of the processes underlying template-based nanocavity formation on oxide surfaces.
Collapse
Affiliation(s)
- Philip Ruff
- Eduard-Zintl-Institut für Anorganische und Physikalische Chemie, Technische Universität Darmstadt , Alarich-Weiss-Str. 8 , 64287 Darmstadt , Germany
| | - Christian Dietz
- Institute of Materials Science , Technische Universität Darmstadt , Alarich-Weiss-Str. 16 , 64287 Darmstadt , Germany
| | - Robert W. Stark
- Institute of Materials Science , Technische Universität Darmstadt , Alarich-Weiss-Str. 16 , 64287 Darmstadt , Germany
| | - Christian Hess
- Eduard-Zintl-Institut für Anorganische und Physikalische Chemie, Technische Universität Darmstadt , Alarich-Weiss-Str. 8 , 64287 Darmstadt , Germany
| |
Collapse
|
31
|
Singh J, Thissen NFW, Kim WH, Johnson H, Kessels WMM, Bol AA, Bent SF, Mackus AJM. Area-Selective Atomic Layer Deposition of Metal Oxides on Noble Metals through Catalytic Oxygen Activation. CHEMISTRY OF MATERIALS : A PUBLICATION OF THE AMERICAN CHEMICAL SOCIETY 2018; 30:663-670. [PMID: 29503508 PMCID: PMC5828705 DOI: 10.1021/acs.chemmater.7b03818] [Citation(s) in RCA: 15] [Impact Index Per Article: 2.5] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Received: 09/08/2017] [Revised: 11/30/2017] [Indexed: 05/12/2023]
Abstract
Area-selective atomic layer deposition (ALD) is envisioned to play a key role in next-generation semiconductor processing and can also provide new opportunities in the field of catalysis. In this work, we developed an approach for the area-selective deposition of metal oxides on noble metals. Using O2 gas as co-reactant, area-selective ALD has been achieved by relying on the catalytic dissociation of the oxygen molecules on the noble metal surface, while no deposition takes place on inert surfaces that do not dissociate oxygen (i.e., SiO2, Al2O3, Au). The process is demonstrated for selective deposition of iron oxide and nickel oxide on platinum and iridium substrates. Characterization by in situ spectroscopic ellipsometry, transmission electron microscopy, scanning Auger electron spectroscopy, and X-ray photoelectron spectroscopy confirms a very high degree of selectivity, with a constant ALD growth rate on the catalytic metal substrates and no deposition on inert substrates, even after 300 ALD cycles. We demonstrate the area-selective ALD approach on planar and patterned substrates and use it to prepare Pt/Fe2O3 core/shell nanoparticles. Finally, the approach is proposed to be extendable beyond the materials presented here, specifically to other metal oxide ALD processes for which the precursor requires a strong oxidizing agent for growth.
Collapse
Affiliation(s)
- Joseph
A. Singh
- Department
of Chemistry, Stanford University, 333 Campus Drive, Stanford, California 94305, United States
| | - Nick F. W. Thissen
- Department
of Applied Physics, Eindhoven University
of Technology, P.O. Box 513, 5600 MB Eindhoven, Netherlands
| | - Woo-Hee Kim
- Division
of Advanced Materials Engineering, Chonbuk
National University, 567 Baekje-daero, deokjin-gu, Jeonju-si, Jeollabuk-do, 54896, Republic of Korea
- Department
of Chemical Engineering, Stanford University, 443 Via Ortega, Stanford, California 94305, United States
| | - Hannah Johnson
- Advanced
Technology 1, Toyota Motor Europe NV/SA, Hoge Wei 33A, B-1930 Zaventem, Belgium
| | - Wilhelmus M. M. Kessels
- Department
of Applied Physics, Eindhoven University
of Technology, P.O. Box 513, 5600 MB Eindhoven, Netherlands
| | - Ageeth A. Bol
- Department
of Applied Physics, Eindhoven University
of Technology, P.O. Box 513, 5600 MB Eindhoven, Netherlands
| | - Stacey F. Bent
- Department
of Chemical Engineering, Stanford University, 443 Via Ortega, Stanford, California 94305, United States
- E-mail: (S.F.B.)
| | - Adriaan J. M. Mackus
- Department
of Applied Physics, Eindhoven University
of Technology, P.O. Box 513, 5600 MB Eindhoven, Netherlands
- Department
of Chemical Engineering, Stanford University, 443 Via Ortega, Stanford, California 94305, United States
- E-mail: (A.J.M.M.)
| |
Collapse
|
32
|
Seo S, Yeo BC, Han SS, Yoon CM, Yang JY, Yoon J, Yoo C, Kim HJ, Lee YB, Lee SJ, Myoung JM, Lee HBR, Kim WH, Oh IK, Kim H. Reaction Mechanism of Area-Selective Atomic Layer Deposition for Al 2O 3 Nanopatterns. ACS APPLIED MATERIALS & INTERFACES 2017; 9:41607-41617. [PMID: 29111636 DOI: 10.1021/acsami.7b13365] [Citation(s) in RCA: 27] [Impact Index Per Article: 3.9] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/07/2023]
Abstract
The reaction mechanism of area-selective atomic layer deposition (AS-ALD) of Al2O3 thin films using self-assembled monolayers (SAMs) was systematically investigated by theoretical and experimental studies. Trimethylaluminum (TMA) and H2O were used as the precursor and oxidant, respectively, with octadecylphosphonic acid (ODPA) as an SAM to block Al2O3 film formation. However, Al2O3 layers began to form on the ODPA SAMs after several cycles, despite reports that CH3-terminated SAMs cannot react with TMA. We showed that TMA does not react chemically with the SAM but is physically adsorbed, acting as a nucleation site for Al2O3 film growth. Moreover, the amount of physisorbed TMA was affected by the partial pressure. By controlling it, we developed a new AS-ALD Al2O3 process with high selectivity, which produces films of ∼60 nm thickness over 370 cycles. The successful deposition of Al2O3 thin film patterns using this process is a breakthrough technique in the field of nanotechnology.
Collapse
Affiliation(s)
| | - Byung Chul Yeo
- Center of Computational Science, Korea Institute of Science and Technology (KIST) , Hwarangno 14-gil 5, Seoul 02792, Republic of Korea
| | - Sang Soo Han
- Center of Computational Science, Korea Institute of Science and Technology (KIST) , Hwarangno 14-gil 5, Seoul 02792, Republic of Korea
| | | | - Joon Young Yang
- LG Display Co., Ltd. , 245, LG-ro, Wollong-myeon, Paju-si, Gyeonggi-do 10845, Republic of Korea
| | - Jonggeun Yoon
- LG Display Co., Ltd. , 245, LG-ro, Wollong-myeon, Paju-si, Gyeonggi-do 10845, Republic of Korea
| | - Choongkeun Yoo
- LG Display Co., Ltd. , 245, LG-ro, Wollong-myeon, Paju-si, Gyeonggi-do 10845, Republic of Korea
| | - Ho-Jin Kim
- LG Display Co., Ltd. , 245, LG-ro, Wollong-myeon, Paju-si, Gyeonggi-do 10845, Republic of Korea
| | - Yong-Baek Lee
- LG Display Co., Ltd. , 245, LG-ro, Wollong-myeon, Paju-si, Gyeonggi-do 10845, Republic of Korea
| | | | | | - Han-Bo-Ram Lee
- Department of Materials Science and Engineering, Incheon National University , 119 Academy-ro, Yeonsu-gu, Incheon 22012, Republic of Korea
| | - Woo-Hee Kim
- Division of Advanced Materials Engineering, Chonbuk National University , Jeonbuk 54896, Republic of Korea
| | | | | |
Collapse
|
33
|
Qi J, Zimmerman DT, Weisel GJ, Willis BG. Nucleation and growth of copper selective-area atomic layer deposition on palladium nanostructures. J Chem Phys 2017; 147:154702. [DOI: 10.1063/1.4996188] [Citation(s) in RCA: 5] [Impact Index Per Article: 0.7] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/14/2022] Open
Affiliation(s)
- J. Qi
- Chemical and Biomolecular Engineering, University of Connecticut, Storrs, Connecticut 06269, USA
| | - D. T. Zimmerman
- Department of Physics, The Pennsylvania State University, Altoona, Pennsylvania 16601, USA
| | - G. J. Weisel
- Department of Physics, The Pennsylvania State University, Altoona, Pennsylvania 16601, USA
| | - B. G. Willis
- Chemical and Biomolecular Engineering, University of Connecticut, Storrs, Connecticut 06269, USA
| |
Collapse
|
34
|
Mameli A, Merkx MJM, Karasulu B, Roozeboom F, Kessels W(EM, Mackus AJM. Area-Selective Atomic Layer Deposition of SiO 2 Using Acetylacetone as a Chemoselective Inhibitor in an ABC-Type Cycle. ACS NANO 2017; 11:9303-9311. [PMID: 28850774 PMCID: PMC5665545 DOI: 10.1021/acsnano.7b04701] [Citation(s) in RCA: 43] [Impact Index Per Article: 6.1] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 07/05/2017] [Accepted: 08/29/2017] [Indexed: 05/28/2023]
Abstract
Area-selective atomic layer deposition (ALD) is rapidly gaining interest because of its potential application in self-aligned fabrication schemes for next-generation nanoelectronics. Here, we introduce an approach for area-selective ALD that relies on the use of chemoselective inhibitor molecules in a three-step (ABC-type) ALD cycle. A process for area-selective ALD of SiO2 was developed comprising acetylacetone inhibitor (step A), bis(diethylamino)silane precursor (step B), and O2 plasma reactant (step C) pulses. Our results show that this process allows for selective deposition of SiO2 on GeO2, SiNx, SiO2, and WO3, in the presence of Al2O3, TiO2, and HfO2 surfaces. In situ Fourier transform infrared spectroscopy experiments and density functional theory calculations underline that the selectivity of the approach stems from the chemoselective adsorption of the inhibitor. The selectivity between different oxide starting surfaces and the compatibility with plasma-assisted or ozone-based ALD are distinct features of this approach. Furthermore, the approach offers the opportunity of tuning the substrate-selectivity by proper selection of inhibitor molecules.
Collapse
Affiliation(s)
- Alfredo Mameli
- Department
of Applied Physics, Eindhoven University
of Technology, P.O. Box 513, 5600 MB Eindhoven, The Netherlands
| | - Marc J. M. Merkx
- Department
of Applied Physics, Eindhoven University
of Technology, P.O. Box 513, 5600 MB Eindhoven, The Netherlands
| | - Bora Karasulu
- Department
of Applied Physics, Eindhoven University
of Technology, P.O. Box 513, 5600 MB Eindhoven, The Netherlands
| | - Fred Roozeboom
- Department
of Applied Physics, Eindhoven University
of Technology, P.O. Box 513, 5600 MB Eindhoven, The Netherlands
- TNO-Holst
Centre, High Tech Campus
31, 5656 AE Eindhoven, The Netherlands
| | | | - Adriaan J. M. Mackus
- Department
of Applied Physics, Eindhoven University
of Technology, P.O. Box 513, 5600 MB Eindhoven, The Netherlands
| |
Collapse
|
35
|
Zyulkov I, Krishtab M, De Gendt S, Armini S. Selective Ru ALD as a Catalyst for Sub-Seven-Nanometer Bottom-Up Metal Interconnects. ACS APPLIED MATERIALS & INTERFACES 2017; 9:31031-31041. [PMID: 28820569 DOI: 10.1021/acsami.7b07811] [Citation(s) in RCA: 7] [Impact Index Per Article: 1.0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/07/2023]
Abstract
Integrating bottom-up area-selective building-blocks in microelectronics has a disruptive potential because of the unique capability of engineering new structures and architectures. Atomic layer deposition (ALD) is an enabling technology, yet understanding the surfaces and their modification is crucial to leverage area-selective ALD (AS-ALD) in this field. The understanding of general selectivity mechanisms and the compatibility of plasma surface modifications with existing materials and processes, both at research and production scale, will greatly facilitate AS-ALD integration in microelectronics. The use of self-assembled monolayers to inhibit the nucleation and growth of ALD films is still scarcely compatible with nanofabrication because of defectivity and downscaling limitations. Alternatively, in this Research Article, we demonstrate a straightforward H2 plasma surface modification process capable of inhibiting Ru ALD nucleation on an amorphous carbon surface while still allowing instantaneous nucleation and linear growth on Si-containing materials. Furthermore, we demonstrate how AS-ALD enables previously inaccessible routes, such as bottom-up electroless metal deposition in a dual damascene etch-damage free low-k replacement scheme. Specifically, our approach offers a general strategy for scalable ultrafine 3D nanostructures without the burden of subtractive metal patterning and high cost chemical mechanical planarization processes.
Collapse
Affiliation(s)
- Ivan Zyulkov
- KU Leuven , Department of Chemistry, Faculty of Science, B-3001 Leuven, Belgium
- Imec , Kapeldreef 75, B-3001 Leuven, Belgium
| | - Mikhail Krishtab
- KU Leuven , Department of Chemistry, Faculty of Science, B-3001 Leuven, Belgium
- Imec , Kapeldreef 75, B-3001 Leuven, Belgium
| | - Stefan De Gendt
- KU Leuven , Department of Chemistry, Faculty of Science, B-3001 Leuven, Belgium
- Imec , Kapeldreef 75, B-3001 Leuven, Belgium
| | | |
Collapse
|
36
|
Cummins C, Shaw MT, Morris MA. Area Selective Polymer Brush Deposition. Macromol Rapid Commun 2017; 38. [DOI: 10.1002/marc.201700252] [Citation(s) in RCA: 15] [Impact Index Per Article: 2.1] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Received: 04/19/2017] [Revised: 05/24/2017] [Indexed: 11/10/2022]
Affiliation(s)
- Cian Cummins
- Centre for Research on Adaptive Nanostructures and Nanodevices (CRANN) & AMBER CentreTrinity College Dublin 5 College Green Dublin 2 Ireland
| | | | - Michael A. Morris
- Centre for Research on Adaptive Nanostructures and Nanodevices (CRANN) & AMBER CentreTrinity College Dublin 5 College Green Dublin 2 Ireland
| |
Collapse
|
37
|
Liu X, Zhu Q, Lang Y, Cao K, Chu S, Shan B, Chen R. Oxide‐Nanotrap‐Anchored Platinum Nanoparticles with High Activity and Sintering Resistance by Area‐Selective Atomic Layer Deposition. Angew Chem Int Ed Engl 2017; 56:1648-1652. [DOI: 10.1002/anie.201611559] [Citation(s) in RCA: 54] [Impact Index Per Article: 7.7] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Received: 11/26/2016] [Indexed: 11/09/2022]
Affiliation(s)
- Xiao Liu
- State Key Laboratory of Digital Manufacturing Equipment and Technology and School of Mechanical Science and EngineeringHuazhong University of Science and Technology Wuhan 430074 Hubei P.R. China
| | - Qianqian Zhu
- State Key Laboratory of Digital Manufacturing Equipment and Technology and School of Mechanical Science and EngineeringHuazhong University of Science and Technology Wuhan 430074 Hubei P.R. China
| | - Yun Lang
- State Key Laboratory of Materials Processing and Die and Mould Technology and School of Materials Science and EngineeringHuazhong University of Science and Technology Wuhan 430074 Hubei P.R. China
| | - Kun Cao
- State Key Laboratory of Digital Manufacturing Equipment and Technology and School of Mechanical Science and EngineeringHuazhong University of Science and Technology Wuhan 430074 Hubei P.R. China
| | - Shengqi Chu
- Institute of High Energy PhysicsChinese Academy of Sciences Beijing 100049 (P .R. China
| | - Bin Shan
- State Key Laboratory of Materials Processing and Die and Mould Technology and School of Materials Science and EngineeringHuazhong University of Science and Technology Wuhan 430074 Hubei P.R. China
| | - Rong Chen
- State Key Laboratory of Digital Manufacturing Equipment and Technology and School of Mechanical Science and EngineeringHuazhong University of Science and Technology Wuhan 430074 Hubei P.R. China
| |
Collapse
|
38
|
Liu X, Zhu Q, Lang Y, Cao K, Chu S, Shan B, Chen R. Oxide-Nanotrap-Anchored Platinum Nanoparticles with High Activity and Sintering Resistance by Area-Selective Atomic Layer Deposition. Angew Chem Int Ed Engl 2017. [DOI: 10.1002/ange.201611559] [Citation(s) in RCA: 23] [Impact Index Per Article: 3.3] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/10/2022]
Affiliation(s)
- Xiao Liu
- State Key Laboratory of Digital Manufacturing Equipment and Technology and School of Mechanical Science and Engineering; Huazhong University of Science and Technology; Wuhan 430074 Hubei P.R. China
| | - Qianqian Zhu
- State Key Laboratory of Digital Manufacturing Equipment and Technology and School of Mechanical Science and Engineering; Huazhong University of Science and Technology; Wuhan 430074 Hubei P.R. China
| | - Yun Lang
- State Key Laboratory of Materials Processing and Die and Mould Technology and School of Materials Science and Engineering; Huazhong University of Science and Technology; Wuhan 430074 Hubei P.R. China
| | - Kun Cao
- State Key Laboratory of Digital Manufacturing Equipment and Technology and School of Mechanical Science and Engineering; Huazhong University of Science and Technology; Wuhan 430074 Hubei P.R. China
| | - Shengqi Chu
- Institute of High Energy Physics; Chinese Academy of Sciences; Beijing 100049 (P .R. China
| | - Bin Shan
- State Key Laboratory of Materials Processing and Die and Mould Technology and School of Materials Science and Engineering; Huazhong University of Science and Technology; Wuhan 430074 Hubei P.R. China
| | - Rong Chen
- State Key Laboratory of Digital Manufacturing Equipment and Technology and School of Mechanical Science and Engineering; Huazhong University of Science and Technology; Wuhan 430074 Hubei P.R. China
| |
Collapse
|
39
|
Yao Y, Coyle JP, Barry ST, Zaera F. Effect of the nature of the substrate on the surface chemistry of atomic layer deposition precursors. J Chem Phys 2016; 146:052806. [DOI: 10.1063/1.4966201] [Citation(s) in RCA: 14] [Impact Index Per Article: 1.8] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 01/14/2023] Open
Affiliation(s)
- Yunxi Yao
- Department of Chemistry, University of California, Riverside, California 92521, USA
| | - Jason P. Coyle
- Department of Chemistry, Carleton University, Ottawa, Ontario K1S 5B6, Canada
| | - Seán T. Barry
- Department of Chemistry, Carleton University, Ottawa, Ontario K1S 5B6, Canada
| | - Francisco Zaera
- Department of Chemistry, University of California, Riverside, California 92521, USA
| |
Collapse
|
40
|
Guo L, Lee I, Zaera F. Patterning of Solid Films via Selective Atomic Layer Deposition Based on Silylation and UV/Ozonolysis. ACS APPLIED MATERIALS & INTERFACES 2016; 8:19836-19841. [PMID: 27455137 DOI: 10.1021/acsami.6b07192] [Citation(s) in RCA: 2] [Impact Index Per Article: 0.3] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/06/2023]
Abstract
A simple methodology was successfully demonstrated for the nanoscale patterning of silicon wafers. Thin films are grown by atomic layer deposition (ALD) and patterned by using selective surface chemistry: First, all the nucleation sites on the original oxide surface are silylated in order to render them unreactive; then, a pattern is developed by selective removal of the silylation agent using a mask and a combination of ultraviolet radiation and ozonolysis. Subsequent ALD is carried out selectively on the areas where the silylation moieties have been removed. This simple procedure affords patterning of oxide surfaces with monolayer control and a lateral resolution on the order of a few tens of nanometers or better. Other selective ALD processes have shown only limited discrimination during deposition, but our method shows absolute inhibition of film growth on the silylated areas while films as thick as 10 nm are grown on the re-exposed sectors. Our example involved the deposition of hafnium oxide films on the native silicon oxide film that forms on Si(100) wafers, but we believe that the approach is general and easily extendable to other ALD processes.
Collapse
Affiliation(s)
- Lei Guo
- Department of Chemistry, University of California , Riverside, California 92521, United States
| | - Ilkeun Lee
- Department of Chemistry, University of California , Riverside, California 92521, United States
| | - Francisco Zaera
- Department of Chemistry, University of California , Riverside, California 92521, United States
| |
Collapse
|
41
|
Guo L, Qin X, Zaera F. Chemical Treatment of Low-k Dielectric Surfaces for Patterning of Thin Solid Films in Microelectronic Applications. ACS APPLIED MATERIALS & INTERFACES 2016; 8:6293-6300. [PMID: 26956428 DOI: 10.1021/acsami.6b00495] [Citation(s) in RCA: 4] [Impact Index Per Article: 0.5] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/05/2023]
Abstract
A protocol has been developed to selectively process low-k SiCOH dielectric substrates in order to activate or deactivate them toward the deposition of thin solid films by chemical (CVD or ALD) means. The original SiCOH surfaces are hydrophobic, an indication that they are alkyl- rather than silanol-terminated and that, consequently, they are fairly unreactive. However, the chemical-mechanical polishing (CMP) sometimes done during microelectronics fabrication renders them hydrophilic and reactive. It was shown here that silylation of the CMP-treated surfaces with any of a number of well-known silylation agents such as HMDS, ODTS, or OTS caps the reactive silanol surface groups and turns them back to being hydrophilic and unreactive. Further exposure of any of the passivated surfaces to a combination of ozone and UV radiation reinstates their hydrophilicity and chemical activity. Importantly, it was also demonstrated that all these changes could be induced without altering the original mechanical, optical, or electrical properties of the samples: atomic force microscopy (AFM) images show no increase in roughness, ellipsometry measurements yield the same values for the index of refraction and dielectric constant, and infrared absorption spectroscopy attests to the preservation of the organic fragments present in the original SiCOH samples. The chemical selectivity of the resulting surfaces was tested for the atomic layer deposition (ALD) of HfO2 films, which could be grown only on the UV/O3 treated substrates.
Collapse
Affiliation(s)
- Lei Guo
- Department of Chemistry, University of California , Riverside, California 92521, United States
| | - Xiangdong Qin
- Department of Chemistry, University of California , Riverside, California 92521, United States
| | - Francisco Zaera
- Department of Chemistry, University of California , Riverside, California 92521, United States
| |
Collapse
|