1
|
Putranto AF, Petit-Etienne C, Cavalaglio S, Cabannes-Boué B, Panabiere M, Forcina G, Fleury G, Kogelschatz M, Zelsmann M. Controlled Anisotropic Wetting by Plasma Treatment for Directed Self-Assembly of High-χ Block Copolymers. ACS Appl Mater Interfaces 2024. [PMID: 38758246 DOI: 10.1021/acsami.4c01657] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 05/18/2024]
Abstract
The directed self-assembly (DSA) of block copolymers (BCPs) is a promising next-generation lithography technique for high-resolution patterning. However, achieving lithographically applicable BCP organization such as out-of-plane lamellae requires proper tuning of interfacial energies between the BCP domains and the substrate, which remains difficult to address effectively and efficiently with high-χ BCPs. Here, we present the successful generation of anisotropic wetting by plasma treatment on patterned spin-on-carbon (SOC) substrates and its application to the DSA of a high-χ Si-containing material, poly(1,1-dimethylsilacyclobutane)-block-polystyrene (PDMSB-b-PS), with a 9 nm half pitch. Exposing the SOC substrate to different plasma chemistries promotes the vertical alignment of the PDMSB-b-PS lamellae within the trenches. In particular, a patterned substrate treated with HBr/O2 plasma gives both a neutral wetting at the bottom interface and a strong PS-affine wetting at the sidewalls of the SOC trenches to efficiently guide the vertical BCP lamellae. Furthermore, prolonged exposure to HBr/O2 plasma enables an adjustment of the trench width and an increased density of BCP lines on the substrate. Experimental observations are in agreement with a free energy configurational model developed to describe the system. These advances, which could be easily implemented in industry, could contribute to the wider adoption of self-assembly techniques in microelectronics, and beyond to applications such as metasurfaces, surface-enhanced Raman spectroscopy, and sensing technologies.
Collapse
Affiliation(s)
- Achmad Fajar Putranto
- CNRS, CEA/LETI Minatec, Laboratoire des Technologies de la Microélectronique (LTM), Université Grenoble Alpes, 38000 Grenoble, France
| | - Camille Petit-Etienne
- CNRS, CEA/LETI Minatec, Laboratoire des Technologies de la Microélectronique (LTM), Université Grenoble Alpes, 38000 Grenoble, France
| | - Sébastien Cavalaglio
- CNRS, CEA/LETI Minatec, Laboratoire des Technologies de la Microélectronique (LTM), Université Grenoble Alpes, 38000 Grenoble, France
| | | | - Marie Panabiere
- CNRS, CEA/LETI Minatec, Laboratoire des Technologies de la Microélectronique (LTM), Université Grenoble Alpes, 38000 Grenoble, France
| | - Gianluca Forcina
- CNRS, CEA/LETI Minatec, Laboratoire des Technologies de la Microélectronique (LTM), Université Grenoble Alpes, 38000 Grenoble, France
| | - Guillaume Fleury
- CNRS, Bordeaux INP, LCPO, UMR 5629, Univ. Bordeaux, F-33600 Pessac, France
| | - Martin Kogelschatz
- CNRS, CEA/LETI Minatec, Laboratoire des Technologies de la Microélectronique (LTM), Université Grenoble Alpes, 38000 Grenoble, France
| | - Marc Zelsmann
- CNRS, CEA/LETI Minatec, Laboratoire des Technologies de la Microélectronique (LTM), Université Grenoble Alpes, 38000 Grenoble, France
| |
Collapse
|
2
|
Zhu C, Ekinci H, Pan A, Cui B, Zhu X. Electron beam lithography on nonplanar and irregular surfaces. Microsyst Nanoeng 2024; 10:52. [PMID: 38646064 PMCID: PMC11031580 DOI: 10.1038/s41378-024-00682-9] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Figures] [Subscribe] [Scholar Register] [Received: 11/01/2023] [Revised: 01/19/2024] [Accepted: 02/23/2024] [Indexed: 04/23/2024]
Abstract
E-beam lithography is a powerful tool for generating nanostructures and fabricating nanodevices with fine features approaching a few nanometers in size. However, alternative approaches to conventional spin coating and development processes are required to optimize the lithography procedure on irregular surfaces. In this review, we summarize the state of the art in nanofabrication on irregular substrates using e-beam lithography. To overcome these challenges, unconventional methods have been developed. For instance, polymeric and nonpolymeric materials can be sprayed or evaporated to form uniform layers of electron-sensitive materials on irregular substrates. Moreover, chemical bonds can be applied to help form polymer brushes or self-assembled monolayers on these surfaces. In addition, thermal oxides can serve as resists, as the etching rate in solution changes after e-beam exposure. Furthermore, e-beam lithography tools can be combined with cryostages, evaporation systems, and metal deposition chambers for sample development and lift-off while maintaining low temperatures. Metallic nanopyramids can be fabricated on an AFM tip by utilizing ice as a positive resistor. Additionally, Ti/Au caps can be patterned around a carbon nanotube. Moreover, 3D nanostructures can be formed on irregular surfaces by exposing layers of anisole on organic ice surfaces with a focused e-beam. These advances in e-beam lithography on irregular substrates, including uniform film coating, instrumentation improvement, and new pattern transferring method development, substantially extend its capabilities in the fabrication and application of nanoscale structures.
Collapse
Affiliation(s)
- Chenxu Zhu
- Department of Electrical and Computer Engineering and Waterloo Institute for Nanotechnology (WIN), University of Waterloo, Waterloo, ON Canada
| | - Huseyin Ekinci
- Department of Electrical and Computer Engineering and Waterloo Institute for Nanotechnology (WIN), University of Waterloo, Waterloo, ON Canada
| | - Aixi Pan
- Department of Electrical and Computer Engineering and Waterloo Institute for Nanotechnology (WIN), University of Waterloo, Waterloo, ON Canada
| | - Bo Cui
- Department of Electrical and Computer Engineering and Waterloo Institute for Nanotechnology (WIN), University of Waterloo, Waterloo, ON Canada
| | - Xiaoli Zhu
- Department of Electrical and Computer Engineering and Waterloo Institute for Nanotechnology (WIN), University of Waterloo, Waterloo, ON Canada
| |
Collapse
|
3
|
Kwon HT, Bang IY, Kim JH, Kim HJ, Lim SY, Kim SY, Cho SH, Kim JH, Kim WJ, Shin GW, Kwon GC. Necking Reduction at Low Temperature in Aspect Ratio Etching of SiO 2 at CF 4/H 2/Ar Plasma. Nanomaterials (Basel) 2024; 14:209. [PMID: 38251172 PMCID: PMC10819064 DOI: 10.3390/nano14020209] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 11/29/2023] [Revised: 01/12/2024] [Accepted: 01/12/2024] [Indexed: 01/23/2024]
Abstract
This study investigated the effect of temperature on the aspect-ratio etching of SiO2 in CF4/H2/Ar plasma using patterned samples of a 200 nm trench in a low-temperature reactive-ion etching system. Lower temperatures resulted in higher etch rates and aspect ratios for SiO2. However, the plasma property was constant with the chuck temperature, indicated by the line intensity ratio from optical emission spectroscopy monitoring of the plasma. The variables obtained from the characterization of the etched profile for the 200 nm trench after etching were analyzed as a function of temperature. A reduction in the necking ratio affected the etch rate and aspect ratio of SiO2. The etching mechanism of the aspect ratio etching of SiO2 was discussed based on the results of the surface composition at necking via energy-dispersive X-ray spectroscopy with temperature. The results suggested that the neutral species reaching the etch front of SiO2 had a low sticking coefficient. The bowing ratio decreased with lowering temperature, indicating the presence of directional ions during etching. Therefore, a lower temperature for the aspect ratio etching of SiO2 could achieve a faster etch rate and a higher aspect ratio of SiO2 via the reduction of necking than higher temperatures.
Collapse
Affiliation(s)
| | | | | | | | | | | | | | | | | | | | - Gi-Chung Kwon
- Department of Electrical and Biological Physics, Kwangwoon University, 20 Kwangwoon-ro, Nowon-gu, Seoul 01897, Republic of Korea; (H.-T.K.); (I.-Y.B.); (J.-H.K.); (H.-J.K.); (S.-Y.L.); (S.-Y.K.); (S.-H.C.); (J.-H.K.); (W.-J.K.); (G.-W.S.)
| |
Collapse
|
4
|
Navascués P, Schütz U, Hanselmann B, Hegemann D. Near-Plasma Chemical Surface Engineering. Nanomaterials (Basel) 2024; 14:195. [PMID: 38251159 PMCID: PMC10819024 DOI: 10.3390/nano14020195] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 12/04/2023] [Revised: 01/10/2024] [Accepted: 01/12/2024] [Indexed: 01/23/2024]
Abstract
As a new trend in plasma surface engineering, plasma conditions that allow more-defined chemical reactions at the surface are being increasingly investigated. This is achieved by avoiding high energy deposition via ion bombardment during direct plasma exposure (DPE) causing destruction, densification, and a broad variety of chemical reactions. In this work, a novel approach is introduced by placing a polymer mesh with large open area close to the plasma-sheath boundary above the plasma-treated sample, thus enabling near-plasma chemistry (NPC). The mesh size effectively extracts ions, while reactive neutrals, electrons, and photons still reach the sample surface. The beneficial impact of this on the plasma activation of poly (tetrafluoroethylene) (PTFE) to enhance wettability and on the plasma polymerization of siloxanes, combined with the etching of residual hydrocarbons to obtain highly porous SiOx coatings at low temperatures, is discussed. Characterization of the treated samples indicates a predominant chemical modification yielding enhanced film structures and durability.
Collapse
Affiliation(s)
- Paula Navascués
- Laboratory for Advanced Fibers, Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen, Switzerland
| | | | | | - Dirk Hegemann
- Laboratory for Advanced Fibers, Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen, Switzerland
| |
Collapse
|
5
|
Choi M, Lee Y, You Y, Cho C, Jeong W, Seong I, Choi B, Kim S, Seol Y, You S, Yeom GY. Characterization of SiO 2 Plasma Etching with Perfluorocarbon (C 4F 8 and C 6F 6) and Hydrofluorocarbon (CHF 3 and C 4H 2F 6) Precursors for the Greenhouse Gas Emissions Reduction. Materials (Basel) 2023; 16:5624. [PMID: 37629915 PMCID: PMC10456486 DOI: 10.3390/ma16165624] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 06/26/2023] [Revised: 08/09/2023] [Accepted: 08/13/2023] [Indexed: 08/27/2023]
Abstract
This paper proposes the use of environmentally friendly alternatives, C6F6 and C4H2F6, as perfluorocarbon (PFC) and hydrofluorocarbon (HFC) precursors, respectively, for SiO2 plasma etching, instead of conventional precursors C4F8 and CHF3. The study employs scanning electron microscopy for etch profile analysis and quadrupole mass spectrometry for plasma diagnosis. Ion bombardment energy at the etching conditions is determined through self-bias voltage measurements, while densities of radical species are obtained using quadrupole mass spectroscopy. The obtained results compare the etch performance, including etch rate and selectivity, between C4F8 and C6F6, as well as between CHF3 and C4H2F6. Furthermore, greenhouse gas (GHG) emissions are evaluated using a million metric ton of carbon dioxide equivalent, indicating significantly lower emissions when replacing conventional precursors with the proposed alternatives. The results suggest that a significant GHG emissions reduction can be achieved from the investigated alternatives without a deterioration in SiO2 etching characteristics. This research contributes to the development of alternative precursors for reducing global warming impacts.
Collapse
Affiliation(s)
- Minsu Choi
- Department of Physics, Chungnam National University, Daejeon 34134, Republic of Korea; (M.C.); (Y.Y.); (C.C.); (W.J.); (I.S.); (B.C.); (S.Y.)
| | - Youngseok Lee
- Institute of Quantum Systems (IQS), Chungnam National University, Daejeon 34134, Republic of Korea; (S.K.); (Y.S.)
| | - Yebin You
- Department of Physics, Chungnam National University, Daejeon 34134, Republic of Korea; (M.C.); (Y.Y.); (C.C.); (W.J.); (I.S.); (B.C.); (S.Y.)
| | - Chulhee Cho
- Department of Physics, Chungnam National University, Daejeon 34134, Republic of Korea; (M.C.); (Y.Y.); (C.C.); (W.J.); (I.S.); (B.C.); (S.Y.)
| | - Wonnyoung Jeong
- Department of Physics, Chungnam National University, Daejeon 34134, Republic of Korea; (M.C.); (Y.Y.); (C.C.); (W.J.); (I.S.); (B.C.); (S.Y.)
| | - Inho Seong
- Department of Physics, Chungnam National University, Daejeon 34134, Republic of Korea; (M.C.); (Y.Y.); (C.C.); (W.J.); (I.S.); (B.C.); (S.Y.)
| | - Byeongyeop Choi
- Department of Physics, Chungnam National University, Daejeon 34134, Republic of Korea; (M.C.); (Y.Y.); (C.C.); (W.J.); (I.S.); (B.C.); (S.Y.)
| | - Sijun Kim
- Institute of Quantum Systems (IQS), Chungnam National University, Daejeon 34134, Republic of Korea; (S.K.); (Y.S.)
| | - Youbin Seol
- Institute of Quantum Systems (IQS), Chungnam National University, Daejeon 34134, Republic of Korea; (S.K.); (Y.S.)
| | - Shinjae You
- Department of Physics, Chungnam National University, Daejeon 34134, Republic of Korea; (M.C.); (Y.Y.); (C.C.); (W.J.); (I.S.); (B.C.); (S.Y.)
- Institute of Quantum Systems (IQS), Chungnam National University, Daejeon 34134, Republic of Korea; (S.K.); (Y.S.)
| | - Geun Young Yeom
- Department of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon 16419, Republic of Korea;
- SKKU Advanced Institute of Nano Technology (SAINT), Sungkyunkwan University, Suwon 16419, Republic of Korea
| |
Collapse
|
6
|
Le HT, Lee JE, Yun SY, Kwon O, Park JK, Jeong YK. Plasma-Induced Oxygen Vacancies in N-Doped Hollow NiCoPBA Nanocages Derived from Prussian Blue Analogue for Efficient OER in Alkaline Media. Int J Mol Sci 2023; 24:ijms24119246. [PMID: 37298197 DOI: 10.3390/ijms24119246] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Grants] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Received: 04/26/2023] [Revised: 05/18/2023] [Accepted: 05/23/2023] [Indexed: 06/12/2023] Open
Abstract
Although water splitting is a promising method to produce clean hydrogen energy, it requires efficient and low-cost catalysts for the oxygen evolution reaction (OER). This study focused on plasma treatment's significance of surface oxygen vacancies in improving OER electrocatalytic activity. For this, we directly grew hollow NiCoPBA nanocages using a Prussian blue analogue (PBA) on nickel foam (NF). The material was treated with N plasma, followed by a thermal reduction process for inducing oxygen vacancies and N doping on the structure of NiCoPBA. These oxygen defects were found to play an essential role as a catalyst center for the OER in enhancing the charge transfer efficiency of NiCoPBA. The N-doped hollow NiCoPBA/NF showed excellent OER performance in an alkaline medium, with a low overpotential of 289 mV at 10 mA cm-2 and a high stability for 24 h. The catalyst also outperformed a commercial RuO2 (350 mV). We believe that using plasma-induced oxygen vacancies with simultaneous N doping will provide a novel insight into the design of low-priced NiCoPBA electrocatalysts.
Collapse
Affiliation(s)
- Huu Tuan Le
- Functional Materials & Components R&D Group, Korea Institute of Industrial Technology (KITECH), 137-41 Gwahakdanji-ro, Gangneung-si 25440, Republic of Korea
| | - Ji Eon Lee
- Functional Materials & Components R&D Group, Korea Institute of Industrial Technology (KITECH), 137-41 Gwahakdanji-ro, Gangneung-si 25440, Republic of Korea
- Department of Materials Science and Engineering, Korea University, 145 Anam-ro, Seongbuk-gu, Seoul 02841, Republic of Korea
| | - So Yeon Yun
- Functional Materials & Components R&D Group, Korea Institute of Industrial Technology (KITECH), 137-41 Gwahakdanji-ro, Gangneung-si 25440, Republic of Korea
- Department of Chemistry, Hankuk University of Foreign Studies, Yongin 17035, Republic of Korea
| | - Ohyung Kwon
- Functional Materials & Components R&D Group, Korea Institute of Industrial Technology (KITECH), 137-41 Gwahakdanji-ro, Gangneung-si 25440, Republic of Korea
| | - Jin Kuen Park
- Department of Chemistry, Hankuk University of Foreign Studies, Yongin 17035, Republic of Korea
| | - Young Kyu Jeong
- Functional Materials & Components R&D Group, Korea Institute of Industrial Technology (KITECH), 137-41 Gwahakdanji-ro, Gangneung-si 25440, Republic of Korea
| |
Collapse
|
7
|
Jeong W, Kim S, Lee Y, Cho C, Seong I, You Y, Choi M, Lee J, Seol Y, You S. Contribution of Ion Energy and Flux on High-Aspect Ratio SiO 2 Etching Characteristics in a Dual-Frequency Capacitively Coupled Ar/C 4F 8 Plasma: Individual Ion Energy and Flux Controlled. Materials (Basel) 2023; 16:ma16103820. [PMID: 37241447 DOI: 10.3390/ma16103820] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 03/29/2023] [Revised: 05/13/2023] [Accepted: 05/15/2023] [Indexed: 05/28/2023]
Abstract
As the process complexity has been increased to overcome challenges in plasma etching, individual control of internal plasma parameters for process optimization has attracted attention. This study investigated the individual contribution of internal parameters, the ion energy and flux, on high-aspect ratio SiO2 etching characteristics for various trench widths in a dual-frequency capacitively coupled plasma system with Ar/C4F8 gases. We established an individual control window of ion flux and energy by adjusting dual-frequency power sources and measuring the electron density and self-bias voltage. We separately varied the ion flux and energy with the same ratio from the reference condition and found that the increase in ion energy shows higher etching rate enhancement than that in the ion flux with the same increase ratio in a 200 nm pattern width. Based on a volume-averaged plasma model analysis, the weak contribution of the ion flux results from the increase in heavy radicals, which is inevitably accompanied with the increase in the ion flux and forms a fluorocarbon film, preventing etching. At the 60 nm pattern width, the etching stops at the reference condition and it remains despite increasing ion energy, which implies the surface charging-induced etching stops. The etching, however, slightly increased with the increasing ion flux from the reference condition, revealing the surface charge removal accompanied with conducting fluorocarbon film formation by heavy radicals. In addition, the entrance width of an amorphous carbon layer (ACL) mask enlarges with increasing ion energy, whereas it relatively remains constant with that of ion energy. These findings can be utilized to optimize the SiO2 etching process in high-aspect ratio etching applications.
Collapse
Affiliation(s)
- Wonnyoung Jeong
- Applied Physics Lab for PLasma Engineering (APPLE), Department of Physics, Chungnam National University, Daejeon 34134, Republic of Korea
| | - Sijun Kim
- Applied Physics Lab for PLasma Engineering (APPLE), Department of Physics, Chungnam National University, Daejeon 34134, Republic of Korea
- Institute of Quantum Systems (IQS), Chungnam National University, Daejeon 34134, Republic of Korea
| | - Youngseok Lee
- Applied Physics Lab for PLasma Engineering (APPLE), Department of Physics, Chungnam National University, Daejeon 34134, Republic of Korea
- Institute of Quantum Systems (IQS), Chungnam National University, Daejeon 34134, Republic of Korea
| | - Chulhee Cho
- Applied Physics Lab for PLasma Engineering (APPLE), Department of Physics, Chungnam National University, Daejeon 34134, Republic of Korea
| | - Inho Seong
- Applied Physics Lab for PLasma Engineering (APPLE), Department of Physics, Chungnam National University, Daejeon 34134, Republic of Korea
| | - Yebin You
- Applied Physics Lab for PLasma Engineering (APPLE), Department of Physics, Chungnam National University, Daejeon 34134, Republic of Korea
| | - Minsu Choi
- Applied Physics Lab for PLasma Engineering (APPLE), Department of Physics, Chungnam National University, Daejeon 34134, Republic of Korea
| | - Jangjae Lee
- Samsung Electronics, Hwaseong-si 18448, Republic of Korea
| | - Youbin Seol
- Applied Physics Lab for PLasma Engineering (APPLE), Department of Physics, Chungnam National University, Daejeon 34134, Republic of Korea
- Institute of Quantum Systems (IQS), Chungnam National University, Daejeon 34134, Republic of Korea
| | - Shinjae You
- Applied Physics Lab for PLasma Engineering (APPLE), Department of Physics, Chungnam National University, Daejeon 34134, Republic of Korea
- Institute of Quantum Systems (IQS), Chungnam National University, Daejeon 34134, Republic of Korea
| |
Collapse
|
8
|
Xu S, Yuan J, Zhou J, Cheng K, Gan H. Study of Atmospheric Pressure Plasma Temperature Based on Silicon Carbide Etching. Micromachines (Basel) 2023; 14:mi14050992. [PMID: 37241616 DOI: 10.3390/mi14050992] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 04/09/2023] [Revised: 04/27/2023] [Accepted: 04/29/2023] [Indexed: 05/28/2023]
Abstract
In order to further understand the excitation process of inductively coupled plasma (ICP) and improve the etching efficiency of silicon carbide (SiC), the effect of temperature and atmospheric pressure on plasma etching of silicon carbide was investigated. Based on the infrared temperature measurement method, the temperature of the plasma reaction region was measured. The single factor method was used to study the effect of the working gas flow rate and the RF power on the plasma region temperature. Fixed-point processing of SiC wafers analyzes the effect of plasma region temperature on the etching rate. The experimental results showed that the plasma temperature increased with increasing Ar gas until it reached the maximum value at 15 slm and decreased with increasing flow rate; the plasma temperature increased with a CF4 flow rate from 0 to 45 sccm until the temperature stabilized when the flow rate reached 45 sccm. The higher the RF power, the higher the plasma region's temperature. The higher the plasma region temperature, the faster the etching rate and the more pronounced the effect on the non-linear effect of the removal function. Therefore, it can be determined that for ICP processing-based chemical reactions, the increase in plasma reaction region temperature leads to a faster SiC etching rate. By processing the dwell time in sections, the nonlinear effect caused by the heat accumulation on the component surface is effectively improved.
Collapse
Affiliation(s)
- Shaozhen Xu
- College of Mechanical Engineering, Zhejiang University of Technology, Hangzhou 310023, China
| | - Julong Yuan
- College of Mechanical Engineering, Zhejiang University of Technology, Hangzhou 310023, China
| | - Jianxing Zhou
- College of Mechanical Engineering, Zhejiang University of Technology, Hangzhou 310023, China
| | - Kun Cheng
- College of Mechanical Engineering, Zhejiang University of Technology, Hangzhou 310023, China
| | - Hezhong Gan
- College of Mechanical Engineering, Zhejiang University of Technology, Hangzhou 310023, China
| |
Collapse
|
9
|
Bobinac J, Reiter T, Piso J, Klemenschits X, Baumgartner O, Stanojevic Z, Strof G, Karner M, Filipovic L. Effect of Mask Geometry Variation on Plasma Etching Profiles. Micromachines (Basel) 2023; 14:665. [PMID: 36985072 PMCID: PMC10058362 DOI: 10.3390/mi14030665] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Figures] [Subscribe] [Scholar Register] [Received: 01/25/2023] [Revised: 03/11/2023] [Accepted: 03/14/2023] [Indexed: 06/18/2023]
Abstract
It is becoming quite evident that, when it comes to the further scaling of advanced node transistors, increasing the flash memory storage capacity, and enabling the on-chip integration of multiple functionalities, "there's plenty of room at the top". The fabrication of vertical, three-dimensional features as enablers of these advanced technologies in semiconductor devices is commonly achieved using plasma etching. Of the available plasma chemistries, SF6/O2 is one of the most frequently applied. Therefore, having a predictive model for this process is indispensable in the design cycle of semiconductor devices. In this work, we implement a physical SF6/O2 plasma etching model which is based on Langmuir adsorption and is calibrated and validated to published equipment parameters. The model is implemented in a broadly applicable in-house process simulator ViennaPS, which includes Monte Carlo ray tracing and a level set-based surface description. We then use the model to study the impact of the mask geometry on the feature profile, when etching through circular and rectangular mask openings. The resulting dimensions of a cylindrical hole or trench can vary greatly due to variations in mask properties, such as its etch rate, taper angle, faceting, and thickness. The peak depth for both the etched cylindrical hole and trench occurs when the mask is tapered at about 0.5°, and this peak shifts towards higher angles in the case of high passivation effects during the etch. The minimum bowing occurs at the peak depth, and it increases with an increasing taper angle. For thin-mask faceting, it is observed that the maximum depth increases with an increasing taper angle, without a significant variation between thin masks. Bowing is observed to be at a maximum when the mask taper angle is between 15° and 20°. Finally, the mask etch rate variation, describing the etching of different mask materials, shows that, when a significant portion of the mask is etched away, there is a notable increase in vertical etching and a decrease in bowing. Ultimately, the implemented model and framework are useful for providing a guideline for mask design rules.
Collapse
Affiliation(s)
- Josip Bobinac
- Christian Doppler Laboratory for Multi-Scale Process Modeling of Semiconductor Devices and Sensors at the Institute for Microelectronics, TU Wien, Gußhausstraße 27-29/E360, 1040 Vienna, Austria; (J.B.); (T.R.)
| | - Tobias Reiter
- Christian Doppler Laboratory for Multi-Scale Process Modeling of Semiconductor Devices and Sensors at the Institute for Microelectronics, TU Wien, Gußhausstraße 27-29/E360, 1040 Vienna, Austria; (J.B.); (T.R.)
| | - Julius Piso
- Institute for Microelectronics, TU Wien, Gußhausstraße 27-29/E360, 1040 Vienna, Austria; (J.P.); (X.K.)
| | - Xaver Klemenschits
- Institute for Microelectronics, TU Wien, Gußhausstraße 27-29/E360, 1040 Vienna, Austria; (J.P.); (X.K.)
- Global TCAD Solutions GmbH, Bösendorferstraße 1, Stiege 1, Top12, 1010 Vienna, Austria; (O.B.); (Z.S.); (G.S.); (M.K.)
| | - Oskar Baumgartner
- Global TCAD Solutions GmbH, Bösendorferstraße 1, Stiege 1, Top12, 1010 Vienna, Austria; (O.B.); (Z.S.); (G.S.); (M.K.)
| | - Zlatan Stanojevic
- Global TCAD Solutions GmbH, Bösendorferstraße 1, Stiege 1, Top12, 1010 Vienna, Austria; (O.B.); (Z.S.); (G.S.); (M.K.)
| | - Georg Strof
- Global TCAD Solutions GmbH, Bösendorferstraße 1, Stiege 1, Top12, 1010 Vienna, Austria; (O.B.); (Z.S.); (G.S.); (M.K.)
| | - Markus Karner
- Global TCAD Solutions GmbH, Bösendorferstraße 1, Stiege 1, Top12, 1010 Vienna, Austria; (O.B.); (Z.S.); (G.S.); (M.K.)
| | - Lado Filipovic
- Christian Doppler Laboratory for Multi-Scale Process Modeling of Semiconductor Devices and Sensors at the Institute for Microelectronics, TU Wien, Gußhausstraße 27-29/E360, 1040 Vienna, Austria; (J.B.); (T.R.)
| |
Collapse
|
10
|
Schifano E, Cavoto G, Pandolfi F, Pettinari G, Apponi A, Ruocco A, Uccelletti D, Rago I. Plasma-Etched Vertically Aligned CNTs with Enhanced Antibacterial Power. Nanomaterials (Basel) 2023; 13:1081. [PMID: 36985974 PMCID: PMC10054568 DOI: 10.3390/nano13061081] [Citation(s) in RCA: 3] [Impact Index Per Article: 3.0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Figures] [Subscribe] [Scholar Register] [Received: 02/01/2023] [Revised: 03/01/2023] [Accepted: 03/14/2023] [Indexed: 06/18/2023]
Abstract
The emergence of multidrug-resistant bacteria represents a growing threat to public health, and it calls for the development of alternative antibacterial approaches not based on antibiotics. Here, we propose vertically aligned carbon nanotubes (VA-CNTs), with a properly designed nanomorphology, as effective platforms to kill bacteria. We show, via a combination of microscopic and spectroscopic techniques, the ability to tailor the topography of VA-CNTs, in a controlled and time-efficient manner, by means of plasma etching processes. Three different varieties of VA-CNTs were investigated, in terms of antibacterial and antibiofilm activity, against Pseudomonas aeruginosa and Staphylococcus aureus: one as-grown variety and two varieties receiving different etching treatments. The highest reduction in cell viability (100% and 97% for P. aeruginosa and S. aureus, respectively) was observed for the VA-CNTs modified using Ar and O2 as an etching gas, thus identifying the best configuration for a VA-CNT-based surface to inactivate both planktonic and biofilm infections. Additionally, we demonstrate that the powerful antibacterial activity of VA-CNTs is determined by a synergistic effect of both mechanical injuries and ROS production. The possibility of achieving a bacterial inactivation close to 100%, by modulating the physico-chemical features of VA-CNTs, opens up new opportunities for the design of self-cleaning surfaces, preventing the formation of microbial colonies.
Collapse
Affiliation(s)
- Emily Schifano
- Dipartimento di Biologia e Biotecnologia “C. Darwin”, Sapienza University of Rome, Piazzale Aldo Moro 5, 00185 Rome, Italy
- SNN Lab, Sapienza Nanotechnology & Nano-Science Laboratory, Sapienza University of Rome, 00100 Rome, Italy
| | - Gianluca Cavoto
- Dipartimento di Fisica, Sapienza University of Rome, Piazzale Aldo Moro 2, 00185 Rome, Italy
- INFN Sezione di Roma, Piazzale Aldo Moro 2, 00185 Rome, Italy
| | | | - Giorgio Pettinari
- Istituto di Fotonica e Nanotecnologie, CNR-IFN, Via del Fosso del Cavaliere 100, 00133 Rome, Italy
| | - Alice Apponi
- Dipartimento di Scienze, Università Degli Studi Roma Tre and INFN Sezione di Roma Tre, Via della Vasca Navale 84, 00146 Rome, Italy
| | - Alessandro Ruocco
- Dipartimento di Scienze, Università Degli Studi Roma Tre and INFN Sezione di Roma Tre, Via della Vasca Navale 84, 00146 Rome, Italy
| | - Daniela Uccelletti
- Dipartimento di Biologia e Biotecnologia “C. Darwin”, Sapienza University of Rome, Piazzale Aldo Moro 5, 00185 Rome, Italy
- SNN Lab, Sapienza Nanotechnology & Nano-Science Laboratory, Sapienza University of Rome, 00100 Rome, Italy
| | - Ilaria Rago
- Dipartimento di Fisica, Sapienza University of Rome, Piazzale Aldo Moro 2, 00185 Rome, Italy
- INFN Sezione di Roma, Piazzale Aldo Moro 2, 00185 Rome, Italy
| |
Collapse
|
11
|
Ponte F, Sharma P, Figueiredo NM, Ferreira J, Carvalho S. Decorative Chromium Coatings on Polycarbonate Substrate for the Automotive Industry. Materials (Basel) 2023; 16:2315. [PMID: 36984194 PMCID: PMC10051204 DOI: 10.3390/ma16062315] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Figures] [Subscribe] [Scholar Register] [Received: 12/31/2022] [Revised: 03/08/2023] [Accepted: 03/09/2023] [Indexed: 06/18/2023]
Abstract
Metal-coated plastic parts are replacing traditional metallic materials in the automotive industry. Sputtering is an alternative technology that is more environmentally friendly than electrolytic coatings. Most metalized plastic parts are coated with a thin metal layer (~100-200 nm). In this work, the challenge is to achieve thicker films without cracking or without other defects, such as pinholes or pores. Chromium coatings with different thicknesses were deposited onto two different substrates, polycarbonate with and without a base coat, using dc magnetron sputtering in an atmosphere of Ar. Firstly, in order to improve the coating adhesion on the polymer surface, a plasma etching treatment was applied. The coatings were characterized for a wide thickness range from 800 nm to 1600 nm. As the thickness of the coatings increased, there was an increase in the specular reflectivity and roughness of the coatings and changes in morphology due to the columnar growth of the film and a progressive increase in thermal stresses. Furthermore, a decrease in the hardness and the number of pinholes was noticed. The maximum thickness achieved without forming buckling defects was 1400 nm. The tape tests confirmed that every deposited coating showed a good interface adhesion to both polymers.
Collapse
Affiliation(s)
- Filipa Ponte
- CEMMPRE, Department of Mechanical Engineering, University of Coimbra, Rua Luís Reis Santos, 3030-788 Coimbra, Portugal; (P.S.); (N.M.F.); (S.C.)
| | - Pooja Sharma
- CEMMPRE, Department of Mechanical Engineering, University of Coimbra, Rua Luís Reis Santos, 3030-788 Coimbra, Portugal; (P.S.); (N.M.F.); (S.C.)
- CFUM-UP, Centro de Física das Universidades do Minho e do Porto, University of Minho, Campus of Azurém, 4800-058 Guimarães, Portugal
| | - Nuno Miguel Figueiredo
- CEMMPRE, Department of Mechanical Engineering, University of Coimbra, Rua Luís Reis Santos, 3030-788 Coimbra, Portugal; (P.S.); (N.M.F.); (S.C.)
| | - Jorge Ferreira
- Engineering Department, KLC—Technical Plastics, 2430-021 Marinha Grande, Portugal;
| | - Sandra Carvalho
- CEMMPRE, Department of Mechanical Engineering, University of Coimbra, Rua Luís Reis Santos, 3030-788 Coimbra, Portugal; (P.S.); (N.M.F.); (S.C.)
- IPN—LED & MAT—Instituto Pedro Nunes, Rua Pedro Nunes, 3030-199 Coimbra, Portugal
| |
Collapse
|
12
|
Moriwaki H, Kamine T. "Plasma-Structural Coloring" of Penciling on a Paper. ACS Appl Mater Interfaces 2023; 15:4781-4788. [PMID: 36631746 DOI: 10.1021/acsami.2c19642] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/17/2023]
Abstract
The penciling part on a paper is colored by the formation of structural color, and the coloring of a paper without ink has been achieved. In a previous study, our group reported that structural color is formed by plasma irradiation (40-120 s) of the surface of a pencil lead or paper painted with a pencil. The formation of structural color due to the thin-layer interference of components of the pencil lead was observed. The clay exposed by removal of the surface graphite through plasma etching plays the role of a "thin layer". The pencil lead can be colored blue, red, and green by the method. In the case of the paper painted with a pencil (6B), the paper turned blue but could not form the other colors by the method. The reason is that the graphite layer on the paper is not thick enough to form thin-layer interference to show colors other than blue. We now present the method that forms structural colors by plasma irradiation of the paper painted by a graphite-rich pencil lead (9B and 12B). The formation of various structural colors, such as blue, yellow, red-purple, and green, on the paper was achieved. The colored site can be effaced by an eraser. This method is a novel coloring method without using colored inks. This environmentallly friendly coloring method can be applied to various activities, such as studies and art, and can contribute to the achievement of a sustainable society.
Collapse
Affiliation(s)
- Hiroshi Moriwaki
- Department of Applied Biology, Faculty of Textile Science and Technology, Shinshu University, 3-15-1, Tokida, Ueda 386-8567, Japan
- Research Initiative for Supra-Materials, Interdisciplinary Cluster for Cutting Edge Research, Shinshu University, 3-15-1, Tokida, Ueda 386-8567, Japan
| | - Tomoya Kamine
- Department of Applied Biology, Faculty of Textile Science and Technology, Shinshu University, 3-15-1, Tokida, Ueda 386-8567, Japan
| |
Collapse
|
13
|
Uricchio A, Lasalandra T, Tamborra ERG, Caputo G, Mota RP, Fanelli F. Atmospheric Pressure Plasma-Treated Polyurethane Foam as Reusable Absorbent for Removal of Oils and Organic Solvents from Water. Materials (Basel) 2022; 15:7948. [PMID: 36431434 PMCID: PMC9693071 DOI: 10.3390/ma15227948] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.5] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Figures] [Subscribe] [Scholar Register] [Received: 10/09/2022] [Revised: 11/04/2022] [Accepted: 11/08/2022] [Indexed: 06/16/2023]
Abstract
This paper reports the optimization of a two-step atmospheric pressure plasma process to modify the surface properties of a polyurethane (PU) foam and, specifically, to prepare a superhydrophobic/superoleophilic absorbent for the removal of oils and nonpolar organic solvents from water. In particular, in the first step, an oxygen-containing dielectric barrier discharge (DBD) is used to induce the etching/nanotexturing of the foam surfaces; in the second step, an ethylene-containing DBD enables uniform overcoating with a low-surface-energy hydrocarbon polymer film. The combination of surface nanostructuring and low surface energy ultimately leads to simultaneous superhydrophobic and superoleophilic wetting properties. X-ray photoelectron spectroscopy, scanning electron microscopy and water contact angle measurements are used for the characterization of the samples. The plasma-treated PU foam selectively absorbs various kinds of hydrocarbon-based liquids (i.e., hydrocarbon solvents, mineral oils, motor oil, diesel and gasoline) up to 23 times its own weight, while it completely repels water. These absorption performances are maintained even after 50 absorption/desorption cycles and after immersion in hot water as well as acidic, basic and salt aqueous solutions. The plasma-treated foam can remove mineral oil while floating on the surface of mineral oil/water mixtures with a separation efficiency greater than 99%, which remains unaltered after 20 separation cycles.
Collapse
Affiliation(s)
- Antonella Uricchio
- Department of Chemistry, University of Bari “Aldo Moro”, Via Orabona 4, 70125 Bari, Italy
| | - Teresa Lasalandra
- Department of Chemistry, University of Bari “Aldo Moro”, Via Orabona 4, 70125 Bari, Italy
| | - Eliana R. G. Tamborra
- Department of Chemistry, University of Bari “Aldo Moro”, Via Orabona 4, 70125 Bari, Italy
| | - Gianvito Caputo
- Nanochemistry Department, Istituto Italiano di Tecnologia, Via Morego 30, 16163 Genoa, Italy
| | - Rogério P. Mota
- Department of Physics, Faculty of Engineering and Science, São Paulo State University (UNESP), 12516-410 Guaratinguetá, SP, Brazil
| | - Fiorenza Fanelli
- Institute of Nanotechnology (NANOTEC), National Research Council (CNR), c/o Department of Chemistry, University of Bari “Aldo Moro”, Via Orabona 4, 70125 Bari, Italy
| |
Collapse
|
14
|
Lee Y, Yeom H, Choi D, Kim S, Lee J, Kim J, Lee H, You S. Database Development of SiO 2 Etching with Fluorocarbon Plasmas Diluted with Various Noble Gases of Ar, Kr, and Xe. Nanomaterials (Basel) 2022; 12:nano12213828. [PMID: 36364604 PMCID: PMC9658225 DOI: 10.3390/nano12213828] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.5] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 09/23/2022] [Revised: 10/14/2022] [Accepted: 10/26/2022] [Indexed: 05/27/2023]
Abstract
In the semiconductor industry, fluorocarbon (FC) plasma is widely used in SiO2 etching, with Ar typically employed in the dilution of the FC plasma due to its cost effectiveness and accessibility. While it has been reported that plasmas with other noble gases, namely Kr and Xe, have distinct physical properties such as electron density and temperature, their implementation into plasma etching has not been sufficiently studied. In this work, we conducted SiO2 etching with FC plasmas diluted with different noble gases, i.e., FC precursors of C4F8 and CH2F2 with Ar, Kr, or Xe, under various gas flow rates of each as well as plasma diagnostics for the process interpretation. We show that Ar, Kr, and Xe gas mixtures depend on the FC precursor flow rate and the pattern width in a significantly different manner and we elucidate these findings based on plasma diagnostic results. The results of this work are expected to offer a practical etching database for diverse applications including plasma process engineering and the development of plasma simulation in the semiconductor industry.
Collapse
Affiliation(s)
- Youngseok Lee
- Department of Physics, Chungnam National University, Daejeon 34134, Korea
| | - Heejung Yeom
- Department of Physics, Chungnam National University, Daejeon 34134, Korea
- Korea Research Institute of Standards and Science, Daejeon 34113, Korea
| | - Daehan Choi
- Samsung Electronics, Hwaseong-si 18448, Korea
| | - Sijun Kim
- Department of Physics, Chungnam National University, Daejeon 34134, Korea
| | - Jangjae Lee
- Samsung Electronics, Hwaseong-si 18448, Korea
| | - Junghyung Kim
- Korea Research Institute of Standards and Science, Daejeon 34113, Korea
| | - Hyochang Lee
- Korea Research Institute of Standards and Science, Daejeon 34113, Korea
| | - ShinJae You
- Department of Physics, Chungnam National University, Daejeon 34134, Korea
- Institute of Quantum Systems (IQS), Department of Physics, Chungnam National University, Daejeon 34134, Korea
| |
Collapse
|
15
|
Ma HJ, Hong S, Oh HM, Kumar K, Kim MJ, Kim HN, Ko JW, Lee JW, Lee HC, Park YJ. Correlation with the Microstructure and Synergistic Physiochemical Etching Resistance of Nanocomposites under Fluorine-Containing Plasma Conditions. ACS Appl Mater Interfaces 2022; 14:43771-43782. [PMID: 36099583 DOI: 10.1021/acsami.2c12311] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/15/2023]
Abstract
In the semiconductor fabrication industry, high-power plasma is indispensable to obtain a high aspect ratio of chips. For applications to ceramic components including the dielectric window and ring in the semiconductor etching chamber, the Y2O3 ceramics have attracted interest recently based on excellent erosion resistance. When a high bias voltage is applied in a plasma environment containing fluorine gas, both chemical etching and ion bombardment act simultaneously on the ceramic components. During this etching process, severe erosion and particles generated on the ceramic surface can have effects on overall equipment effectiveness. Herein, we report the outstanding plasma etching resistance of Y2O3-MgO nanocomposite ceramics under a CF4/Ar/O2 gas atmosphere; the erosion depth of this material is 40-79% of that of the reference materials, Y2O3 ceramics. In a robust approach involving effective control of the microstructure with different initial particles and sintering conditions, it is possible to understand the relationship between etching behavior and microstructure evolution of the nanocomposite ceramic. The results indicate that the nanocomposite with fine and homogeneous domain distribution can decrease particle generation and ameliorate its life cycle; accordingly, this is a promising alternative candidate material for ceramic components in plasma chambers.
Collapse
Affiliation(s)
- Ho Jin Ma
- Department of Engineering Ceramics, Korea Institute of Materials Science, Changwon, Gyeongnam 51508, Republic of Korea
| | - Seongwan Hong
- Advanced Instrumentation Institute, Korea Research Institute of Standards and Science, Daejeon 34113, Republic of Korea
| | - Hyeon-Myeong Oh
- Department of Engineering Ceramics, Korea Institute of Materials Science, Changwon, Gyeongnam 51508, Republic of Korea
| | - Kundan Kumar
- Department of Engineering Ceramics, Korea Institute of Materials Science, Changwon, Gyeongnam 51508, Republic of Korea
- Department of Ceramic Engineering, Indian Institute of Technology (BHU), Varanasi 221005, India
| | - Mi-Ju Kim
- Department of Engineering Ceramics, Korea Institute of Materials Science, Changwon, Gyeongnam 51508, Republic of Korea
| | - Ha-Neul Kim
- Department of Engineering Ceramics, Korea Institute of Materials Science, Changwon, Gyeongnam 51508, Republic of Korea
| | - Jae-Woong Ko
- Department of Engineering Ceramics, Korea Institute of Materials Science, Changwon, Gyeongnam 51508, Republic of Korea
| | - Jae-Wook Lee
- Department of Engineering Ceramics, Korea Institute of Materials Science, Changwon, Gyeongnam 51508, Republic of Korea
| | - Hyo-Chang Lee
- Advanced Instrumentation Institute, Korea Research Institute of Standards and Science, Daejeon 34113, Republic of Korea
| | - Young-Jo Park
- Department of Engineering Ceramics, Korea Institute of Materials Science, Changwon, Gyeongnam 51508, Republic of Korea
| |
Collapse
|
16
|
Woo JC, Um DS. The Reflectance Characteristics of an Inverse Moth-Eye Structure in a Silicon Substrate Depending on SF 6/O 2 Plasma Etching Conditions. Micromachines (Basel) 2022; 13:1556. [PMID: 36295909 PMCID: PMC9607972 DOI: 10.3390/mi13101556] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Figures] [Subscribe] [Scholar Register] [Received: 09/08/2022] [Revised: 09/18/2022] [Accepted: 09/19/2022] [Indexed: 06/16/2023]
Abstract
The global RE100 campaign is attracting attention worldwide due to climate change caused by global warming, increasingly highlighting the efficiency of renewable energy. Texturing of photovoltaic devices increases the devices' efficiency by reducing light reflectance at their surfaces. This study introduces the change in light reflectance following the process conditions of plasma etching as a texturing process to increase the efficiency of photovoltaic cells. Isotropic etching was induced through plasma using SF6 gas, and the etch profile was modulated by adding O2 gas to reduce light reflectance. A high etch rate produces high surface roughness, which results in low surface reflectance properties. The inverse moth-eye structure was implemented using a square PR pattern arranged diagonally and showed the minimum reflectance in visible light at a tip spacing of 1 μm. This study can be applied to the development of higher-efficiency optical devices.
Collapse
Affiliation(s)
- Jong-Chang Woo
- Department of Semiconductor Process Equipment, Semiconductor Convergence Campus of Korea Polytechnic, Anseong-si 17550, Gyeonggi-do, Korea
| | - Doo-Seung Um
- Department of Electrical Engineering, Sejong University, Seoul 05006, Korea
| |
Collapse
|
17
|
Aryal A, Stricklin I, Behzadirad M, Branch DW, Siddiqui A, Busani T. High-Quality Dry Etching of LiNbO 3 Assisted by Proton Substitution through H 2-Plasma Surface Treatment. Nanomaterials (Basel) 2022; 12:2836. [PMID: 36014702 PMCID: PMC9415737 DOI: 10.3390/nano12162836] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Figures] [Subscribe] [Scholar Register] [Received: 07/12/2022] [Revised: 08/06/2022] [Accepted: 08/11/2022] [Indexed: 06/15/2023]
Abstract
The exceptional material properties of Lithium Niobate (LiNbO3) make it an excellent material platform for a wide range of RF, MEMS, phononic and photonic applications; however, nano-micro scale device concepts require high fidelity processing of LN films. Here, we reported a highly optimized processing methodology that achieves a deep etch with nearly vertical and smooth sidewalls. We demonstrated that Ti/Al/Cr stack works perfectly as a hard mask material during long plasma dry etching, where periodically pausing the etching and chemical cleaning between cycles were leveraged to avoid thermal effects and byproduct redeposition. To improve mask quality on X- and Y-cut substrates, a H2-plasma treatment was implemented to relieve surface tension by modifying the top surface atoms. Structures with etch depths as deep as 3.4 µm were obtained in our process across a range of crystallographic orientations with a smooth sidewall and perfect verticality on several crystallographic facets.
Collapse
Affiliation(s)
- Arjun Aryal
- Center for High Technology Materials (CHTM), University of New Mexico, MSC01 04-2710, 1313 Godard St. SE, Albuquerque, NM 87106-4343, USA
| | - Isaac Stricklin
- Center for High Technology Materials (CHTM), University of New Mexico, MSC01 04-2710, 1313 Godard St. SE, Albuquerque, NM 87106-4343, USA
- Electrical and Computer Engineering (ECE), University of New Mexico, MSC01 11001, Albuquerque, NM 87131-0001, USA
| | - Mahmoud Behzadirad
- Center for High Technology Materials (CHTM), University of New Mexico, MSC01 04-2710, 1313 Godard St. SE, Albuquerque, NM 87106-4343, USA
| | - Darren W. Branch
- Sandia National Laboratories, 1515 Eubank Blvd SE, Albuquerque, NM 87123, USA
| | - Aleem Siddiqui
- Sandia National Laboratories, 1515 Eubank Blvd SE, Albuquerque, NM 87123, USA
| | - Tito Busani
- Center for High Technology Materials (CHTM), University of New Mexico, MSC01 04-2710, 1313 Godard St. SE, Albuquerque, NM 87106-4343, USA
- Electrical and Computer Engineering (ECE), University of New Mexico, MSC01 11001, Albuquerque, NM 87131-0001, USA
| |
Collapse
|
18
|
Lee Y, Kim S, Lee J, Cho C, Seong I, You S. Low-Temperature Plasma Diagnostics to Investigate the Process Window Shift in Plasma Etching of SiO 2. Sensors (Basel) 2022; 22:6029. [PMID: 36015787 PMCID: PMC9413963 DOI: 10.3390/s22166029] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [MESH Headings] [Track Full Text] [Figures] [Subscribe] [Scholar Register] [Received: 06/15/2022] [Revised: 08/04/2022] [Accepted: 08/10/2022] [Indexed: 06/15/2023]
Abstract
As low-temperature plasma plays an important role in semiconductor manufacturing, plasma diagnostics have been widely employed to understand changes in plasma according to external control parameters, which has led to the achievement of appropriate plasma conditions normally termed the process window. During plasma etching, shifts in the plasma conditions both within and outside the process window can be observed; in this work, we utilized various plasma diagnostic tools to investigate the causes of these shifts. Cutoff and emissive probes were used to measure the electron density and plasma potential as indicators of the ion density and energy, respectively, that represent the ion energy flux. Quadrupole mass spectrometry was also used to show real-time changes in plasma chemistry during the etching process, which were in good agreement with the etching trend monitored via in situ ellipsometry. The results show that an increase in the ion energy flux and a decrease in the fluorocarbon radical flux alongside an increase in the input power result in the breaking of the process window, findings that are supported by the reported SiO2 etch model. By extending the SiO2 etch model with rigorous diagnostic measurements (or numerous diagnostic methods), more intricate plasma processing conditions can be characterized, which will be beneficial in applications and industries where different input powers and gas flows can make notable differences to the results.
Collapse
Affiliation(s)
- Youngseok Lee
- Department of Physics, Chungnam National University, Daejeon 34134, Korea
| | - Sijun Kim
- Department of Physics, Chungnam National University, Daejeon 34134, Korea
| | - Jangjae Lee
- Samsung Electronics, Hwaseong-si 18448, Korea
| | - Chulhee Cho
- Department of Physics, Chungnam National University, Daejeon 34134, Korea
| | - Inho Seong
- Department of Physics, Chungnam National University, Daejeon 34134, Korea
| | - Shinjae You
- Department of Physics, Chungnam National University, Daejeon 34134, Korea
- Institute of Quantum Systems (IQS), Department of Physics, Chungnam National University, Daejeon 34134, Korea
| |
Collapse
|
19
|
Esmeraldo Paiva A, Baez Vasquez JF, Selkirk A, Prochukhan N, G L Medeiros Borsagli F, Morris M. Highly Ordered Porous Inorganic Structures via Block Copolymer Lithography: An Application of the Versatile and Selective Infiltration of the "Inverse" P2VP- b-PS System. ACS Appl Mater Interfaces 2022; 14:35265-35275. [PMID: 35876355 DOI: 10.1021/acsami.2c10338] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.5] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/15/2023]
Abstract
A facile and versatile strategy was developed to produce highly ordered porous metal oxide structures via block copolymer (BCP) lithography. Phase separation of poly(2-vinylpyridine)-b-polystyrene (P2VP-b-PS) was induced by solvent vapor annealing in a nonselective solvent environment to fabricate cylindrical arrays. In this work, we thoroughly analyzed the effects of the film thickness, solvent annealing time, and temperature on the ordering of a P2VP-majority system for the first time, resulting in "inverse" structures. Reflectometry, atomic force microscopy, scanning electron microscopy, energy-dispersive X-ray spectroscopy, X-ray photoelectron spectroscopy (XPS), and transmission electron microscopy were used to characterize the formation of the highly ordered BCP morphology and the subsequently produced metal oxide film. At 40 min solvent annealing time, hexagonally close packed structures were produced with cylinder diameters ∼40 nm. Subsequently, the BCP films were infiltrated with different metal cations. Metal ions (Cr, Fe, Ni, and Ga) selectively infiltrated the P2VP domain, while the PS did not retain any detectable amount of metal precursor. This gave rise to a metal oxide porous structure after a UV/ozone (UVO) treatment. The results showed that the metal oxide structures demonstrated high fidelity compared to the BCP template and cylindrical domains presented a similar size to the previous PS structure. Moreover, XPS analyses revealed the complete elimination of the BCP template and confirmed the presence of the metal oxides. These metal oxides were used as hard masks for pattern transfer via dry etching as a further application. Silicon nanopores were fabricated mimicking the BCP template and demonstrated a pore depth of ∼50 nm. Ultimately, this strategy can be applied to create different inorganic nanostructures for a diverse range of applications, for example, solar cells, diodes, and integrated circuits. Furthermore, by optimizing the etching parameters, deeper structures can be obtained via ICP/RIE processes, leading to many potential applications.
Collapse
Affiliation(s)
- Aislan Esmeraldo Paiva
- AMBER Research Centre/School of Chemistry, Trinity College Dublin, Dublin D02W085, Ireland
| | | | - Andrew Selkirk
- AMBER Research Centre/School of Chemistry, Trinity College Dublin, Dublin D02W085, Ireland
| | - Nadezda Prochukhan
- AMBER Research Centre/School of Chemistry, Trinity College Dublin, Dublin D02W085, Ireland
| | - Fernanda G L Medeiros Borsagli
- Institute of Engineering, Science and Technology, Universidade Federal dos Vales do Jequitinhonha e Mucuri/UFVJM, Av. 01, 4050, Janaúba, MG 39440-039, Brazil
| | - Michael Morris
- AMBER Research Centre/School of Chemistry, Trinity College Dublin, Dublin D02W085, Ireland
| |
Collapse
|
20
|
Shi Z, Josell D, Jefimovs K, Romano L, Moffat TP, Stampanoni M, Schlepütz CM. Fabrication of a fractal pattern device for focus characterizations of X-ray imaging systems by Si deep reactive ion etching and bottom-up Au electroplating. Appl Opt 2022; 61:3850-3854. [PMID: 36256429 PMCID: PMC9979867 DOI: 10.1364/ao.456427] [Citation(s) in RCA: 4] [Impact Index Per Article: 2.0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 02/22/2022] [Accepted: 04/11/2022] [Indexed: 06/16/2023]
Abstract
Precisely aligned optical components are crucial prerequisites for X-ray tomography at high resolution. We propose a device with a fractal pattern for precise automatic focusing. The device is etched in a Si substrate by deep reactive ion etching and then filled by a self-terminating bottom-up Au electroplating process. The fractal nature of the device produces an X-ray transmission image with globally homogeneous macroscopic visibility and high local contrast for pixel sizes in the range of 0.165 µm to 11 µm, while the high absorption contrast provided between Au and Si enables its use for X-ray energies ranging from 12 keV to 40 keV.
Collapse
Affiliation(s)
- Zhitian Shi
- Paul Scherrer Institut, 5232 Villigen PSI, Switzerland
- Institute for Biomedical Engineering, University and ETH Zürich, Zürich 8092, Switzerland
| | - Daniel Josell
- Materials Science and Engineering Division, National Institute of Standards and Technology, Gaithersburg, Maryland 20899, USA
| | | | - Lucia Romano
- Paul Scherrer Institut, 5232 Villigen PSI, Switzerland
- Institute for Biomedical Engineering, University and ETH Zürich, Zürich 8092, Switzerland
| | - Thomas P. Moffat
- Materials Science and Engineering Division, National Institute of Standards and Technology, Gaithersburg, Maryland 20899, USA
| | - Marco Stampanoni
- Paul Scherrer Institut, 5232 Villigen PSI, Switzerland
- Institute for Biomedical Engineering, University and ETH Zürich, Zürich 8092, Switzerland
| | | |
Collapse
|
21
|
Sung D, Wen L, Tak H, Lee H, Kim D, Yeom G. Investigation of SiO 2 Etch Characteristics by C 6F 6/Ar/O 2 Plasmas Generated Using Inductively Coupled Plasma and Capacitively Coupled Plasma. Materials (Basel) 2022; 15:ma15041300. [PMID: 35207841 PMCID: PMC8876613 DOI: 10.3390/ma15041300] [Citation(s) in RCA: 4] [Impact Index Per Article: 2.0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Download PDF] [Figures] [Subscribe] [Scholar Register] [Received: 01/07/2022] [Revised: 02/04/2022] [Accepted: 02/04/2022] [Indexed: 02/05/2023]
Abstract
The etching properties of C6F6/Ar/O2 in both an inductively coupled plasma (ICP) system and a capacitively coupled plasma (CCP) system were evaluated to investigate the effects of high C/F ratio of perfluorocarbon (PFC) gas on the etch characteristics of SiO2. When the SiO2 masked with ACL was etched with C6F6, for the CCP system, even though the etch selectivity was very high (20 ~ infinite), due to the heavy-ion bombardment possibly caused by the less dissociated high-mass ions from C6F6, tapered SiO2 etch profiles were observed. In the case of the ICP system, due to the higher dissociation of C6F6 and O2 compared to the CCP system, the etching of SiO2 required a much lower ratio of O2/C6F6 (~1.0) while showing a higher maximum SiO2 etch rate (~400 nm/min) and a lower etch selectivity (~6.5) compared with the CCP system. For the ICP etching, even though the etch selectivity was much lower than that by the CCP etching, due to less heavy-mass-ion bombardment in addition to an adequate fluorocarbon layer formation on the substrate caused by heavily dissociated species, highly anisotropic SiO2 etch profiles could be obtained at the optimized condition of the O2/C6F6 ratio (~1.0).
Collapse
Affiliation(s)
- Dain Sung
- Department of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon 16419, Korea; (D.S.); (L.W.); (H.T.); (H.L.); (D.K.)
| | - Long Wen
- Department of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon 16419, Korea; (D.S.); (L.W.); (H.T.); (H.L.); (D.K.)
| | - Hyunwoo Tak
- Department of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon 16419, Korea; (D.S.); (L.W.); (H.T.); (H.L.); (D.K.)
| | - Hyejoo Lee
- Department of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon 16419, Korea; (D.S.); (L.W.); (H.T.); (H.L.); (D.K.)
| | - Dongwoo Kim
- Department of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon 16419, Korea; (D.S.); (L.W.); (H.T.); (H.L.); (D.K.)
| | - Geunyoung Yeom
- Department of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon 16419, Korea; (D.S.); (L.W.); (H.T.); (H.L.); (D.K.)
- SKKU Advanced Institute of Nano Technology (SAINT), Sungkyunkwan University, Suwon 16419, Korea
- Correspondence: ; Tel.: +82-31-299-6564
| |
Collapse
|
22
|
Racka-Szmidt K, Stonio B, Żelazko J, Filipiak M, Sochacki M. A Review: Inductively Coupled Plasma Reactive Ion Etching of Silicon Carbide. Materials (Basel) 2021; 15:ma15010123. [PMID: 35009277 PMCID: PMC8745874 DOI: 10.3390/ma15010123] [Citation(s) in RCA: 14] [Impact Index Per Article: 4.7] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Download PDF] [Figures] [Subscribe] [Scholar Register] [Received: 11/24/2021] [Revised: 12/12/2021] [Accepted: 12/20/2021] [Indexed: 11/16/2022]
Abstract
The inductively coupled plasma reactive ion etching (ICP-RIE) is a selective dry etching method used in fabrication technology of various semiconductor devices. The etching is used to form non-planar microstructures-trenches or mesa structures, and tilted sidewalls with a controlled angle. The ICP-RIE method combining a high finishing accuracy and reproducibility is excellent for etching hard materials, such as SiC, GaN or diamond. The paper presents a review of silicon carbide etching-principles of the ICP-RIE method, the results of SiC etching and undesired phenomena of the ICP-RIE process are presented. The article includes SEM photos and experimental results obtained from different ICP-RIE processes. The influence of O2 addition to the SF6 plasma as well as the change of both RIE and ICP power on the etching rate of the Cr mask used in processes and on the selectivity of SiC/Cr etching are reported for the first time. SiC is an attractive semiconductor with many excellent properties, that can bring huge potential benefits thorough advances in submicron semiconductor processing technology. Recently, there has been an interest in SiC due to its potential wide application in power electronics, in particular in automotive, renewable energy and rail transport.
Collapse
Affiliation(s)
- Katarzyna Racka-Szmidt
- Łukasiewicz Research Network—Institute of Microelectronics and Photonics, Al. Lotników 32/46, 02-668 Warsaw, Poland;
- Correspondence:
| | - Bartłomiej Stonio
- Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, 75 Koszykowa Str., 00-662 Warsaw, Poland; (B.S.); (M.S.)
- Center for Advanced Materials and Technology CEZAMAT, Warsaw University of Technology, 19 Poleczki Str., 02-822 Warsaw, Poland;
| | - Jarosław Żelazko
- Łukasiewicz Research Network—Institute of Microelectronics and Photonics, Al. Lotników 32/46, 02-668 Warsaw, Poland;
| | - Maciej Filipiak
- Center for Advanced Materials and Technology CEZAMAT, Warsaw University of Technology, 19 Poleczki Str., 02-822 Warsaw, Poland;
| | - Mariusz Sochacki
- Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, 75 Koszykowa Str., 00-662 Warsaw, Poland; (B.S.); (M.S.)
| |
Collapse
|
23
|
Tillocher T, Nos J, Antoun G, Lefaucheux P, Boufnichel M, Dussart R. Comparison between Bosch and STiGer Processes for Deep Silicon Etching. Micromachines (Basel) 2021; 12:mi12101143. [PMID: 34683193 PMCID: PMC8537062 DOI: 10.3390/mi12101143] [Citation(s) in RCA: 2] [Impact Index Per Article: 0.7] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Download PDF] [Figures] [Subscribe] [Scholar Register] [Received: 08/25/2021] [Revised: 09/16/2021] [Accepted: 09/16/2021] [Indexed: 11/20/2022]
Abstract
The cryogenic process is well known to etch high aspect ratio features in silicon with smooth sidewalls. A time-multiplexed cryogenic process, called STiGer, was developed in 2006 and patented. Like the Bosch process, it consists in repeating cycles composed of an isotropic etching step followed by a passivation step. If the etching step is similar for both processes, the passivation step is a SiF4/O2 plasma that efficiently deposits a SiOxFy layer on the sidewalls only if the substrate is cooled at cryogenic temperature. In this paper, it is shown that the STiGer process can achieve profiles and performances equivalent to the Bosch process. However, since sidewall passivation is achieved with polymer free plasma chemistry, less frequent chamber cleaning is necessary, which contributes to increase the throughput.
Collapse
Affiliation(s)
- Thomas Tillocher
- Research Group in the Energetics of Ionized Media (GREMI), University of Orléans, CNRS, 14 Rue d’Issoudun BP 6744, 45067 Orléans, France; (J.N.); (G.A.); (P.L.); (R.D.)
- Correspondence:
| | - Jack Nos
- Research Group in the Energetics of Ionized Media (GREMI), University of Orléans, CNRS, 14 Rue d’Issoudun BP 6744, 45067 Orléans, France; (J.N.); (G.A.); (P.L.); (R.D.)
| | - Gaëlle Antoun
- Research Group in the Energetics of Ionized Media (GREMI), University of Orléans, CNRS, 14 Rue d’Issoudun BP 6744, 45067 Orléans, France; (J.N.); (G.A.); (P.L.); (R.D.)
| | - Philippe Lefaucheux
- Research Group in the Energetics of Ionized Media (GREMI), University of Orléans, CNRS, 14 Rue d’Issoudun BP 6744, 45067 Orléans, France; (J.N.); (G.A.); (P.L.); (R.D.)
| | | | - Rémi Dussart
- Research Group in the Energetics of Ionized Media (GREMI), University of Orléans, CNRS, 14 Rue d’Issoudun BP 6744, 45067 Orléans, France; (J.N.); (G.A.); (P.L.); (R.D.)
| |
Collapse
|
24
|
Cheng R, Wang F, Jiang M, Li K, Zhao T, Meng P, Yang J, Fu C. Plasma-Assisted Synthesis of Defect-Rich O and N Codoped Carbon Nanofibers Loaded with Manganese Oxides as an Efficient Oxygen Reduction Electrocatalyst for Aluminum-Air Batteries. ACS Appl Mater Interfaces 2021; 13:37123-37132. [PMID: 34333971 DOI: 10.1021/acsami.1c09067] [Citation(s) in RCA: 10] [Impact Index Per Article: 3.3] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/13/2023]
Abstract
The oxygen reduction reaction (ORR) with sluggish kinetics on the cathode of aluminum-air (Al-air) batteries greatly limits their further development. Here, a new strategy is proposed to synthesize oxygen and nitrogen codoped carbon nanofibers loaded with manganese oxides (MnO/Mn2O3/ONCNF-n) as an efficient electrocatalyst for ORR by using oxygen plasma surface etching. The MnO/Mn2O3/ONCNF-3 exhibit superior ORR performance in an alkaline electrolyte, which is attributed to various active sites including N and O heteroatoms, vacancies, and manganese oxides. Additionally, the fabricated homemade Al-air battery (AAB) with MnO/Mn2O3/ONCNF-3 exhibits a maximum power density of 129.7 mW cm-2, demonstrating comparable performance to AABs based on the commercial Pt/C catalyst. This work provides a new approach of using O2 plasma for enhancing the ORR catalytic activities of carbon materials.
Collapse
Affiliation(s)
- Ruiqi Cheng
- School of Materials Science and Engineering, Shanghai Jiao Tong University, Shanghai 200240, P. R. China
| | - Fei Wang
- School of Materials Science and Engineering, Shanghai Jiao Tong University, Shanghai 200240, P. R. China
| | - Min Jiang
- School of Materials Science and Engineering, Shanghai Jiao Tong University, Shanghai 200240, P. R. China
| | - Kaiqi Li
- School of Materials Science and Engineering, Shanghai Jiao Tong University, Shanghai 200240, P. R. China
| | - Tianshuo Zhao
- School of Materials Science and Engineering, Shanghai Jiao Tong University, Shanghai 200240, P. R. China
| | - Pengyu Meng
- School of Materials Science and Engineering, Shanghai Jiao Tong University, Shanghai 200240, P. R. China
| | - Jian Yang
- School of Materials Science and Engineering, Shanghai Jiao Tong University, Shanghai 200240, P. R. China
| | - Chaopeng Fu
- School of Materials Science and Engineering, Shanghai Jiao Tong University, Shanghai 200240, P. R. China
| |
Collapse
|
25
|
Suarez IJ, Sierra-Martin B, Fernandez-Barbero A. Using Plasma Etching to Access the Polymer Density Distribution and Diffusivity of Gel Particles. Polymers (Basel) 2021; 13:2537. [PMID: 34372139 PMCID: PMC8347704 DOI: 10.3390/polym13152537] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.3] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Grants] [Track Full Text] [Download PDF] [Figures] [Journal Information] [Subscribe] [Scholar Register] [Received: 06/20/2021] [Revised: 07/27/2021] [Accepted: 07/27/2021] [Indexed: 11/16/2022] Open
Abstract
In this paper we examine the polymer density distribution of gel particles and its effect on solvent diffusivity through the polymer network. In order to access the inner particle regions, external polymer layers were removed by plasma etching, thus reducing them from the outside. Higher polymer densities after erosion showed internal heterogeneity, with the density increasing towards the center of the particles. An exponential decay polymer density model is proposed, and the spatial relaxation length measured. The diffusion of solvent through the particles, before and after the plasma oxidation, revealed a correlation between the diffusion coefficient and the internal density.
Collapse
Affiliation(s)
- Ivan J. Suarez
- NanoLab, Department of Chemistry and Physics, University of Almeria, 04120 Almeria, Spain; (I.J.S.); (B.S.-M.)
| | - Benjamin Sierra-Martin
- NanoLab, Department of Chemistry and Physics, University of Almeria, 04120 Almeria, Spain; (I.J.S.); (B.S.-M.)
| | - Antonio Fernandez-Barbero
- NanoLab, Department of Chemistry and Physics, University of Almeria, 04120 Almeria, Spain; (I.J.S.); (B.S.-M.)
- Institute of Applied Chemical Sciences, Universidad Autonoma de Chile, Santiago 7500138, Chile
| |
Collapse
|
26
|
Nowak WJ. The Use of Ion Milling for Surface Preparation for EBSD Analysis. Materials (Basel) 2021; 14:3970. [PMID: 34300889 DOI: 10.3390/ma14143970] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.3] [Reference Citation Analysis] [What about the content of this article? (0)] [Abstract] [Key Words] [Track Full Text] [Download PDF] [Figures] [Subscribe] [Scholar Register] [Received: 06/23/2021] [Revised: 07/05/2021] [Accepted: 07/11/2021] [Indexed: 11/30/2022]
Abstract
An electron backscattered diffraction (EBSD) method provides information about the crystallographic structure of materials. However, a surface subjected to analysis needs to be well-prepared. This usually requires following a time-consuming procedure of mechanical polishing. The alternative methods of surface preparation for EBSD are performed via electropolishing or focus ion beam (FIB). In the present study, plasma etching using a glow discharge optical emission spectrometer (GD-OES) was applied for surface preparation for EBSD analysis. The obtained results revealed that plasma etching through GD-OES can be successfully used for surface preparation for EBSD analysis. However, it was also found that the plasma etching is sensitive for the alloy microstructure, i.e., the presence of intermetallic phases and precipitates such as carbides possess a different sputtering rate, resulting in non-uniform plasma etching. Preparation of the cross-section of oxidized CM247 revealed a similar problem with non-uniformity of plasma etching. The carbides and oxide scale possess a lower sputtering rate than the metallic matrix, which caused formation of relief. Based on obtained results, possible resolutions to suppress the effect of different sputtering rates are proposed.
Collapse
|
27
|
Chen X, Zhang S, Hou D, Duan H, Deng B, Zeng Z, Liu B, Sun L, Song R, Du J, Gao P, Peng H, Liu Z, Wang L. Tunable Pore Size from Sub-Nanometer to a Few Nanometers in Large-Area Graphene Nanoporous Atomically Thin Membranes. ACS Appl Mater Interfaces 2021; 13:29926-29935. [PMID: 34133124 DOI: 10.1021/acsami.1c06243] [Citation(s) in RCA: 11] [Impact Index Per Article: 3.7] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/12/2023]
Abstract
Membranes are key components in chemical purification, biological separation, and water desalination. Traditional polymeric membranes are subjected to a ubiquitous trade-off between permeance and selectivity, which significantly hinders the separation performance. Nanoporous atomically thin membranes (NATMs), such as graphene NATMs, have the potential to break this trade-off. Owing to their uniqueness of two-dimensional structure and potential nanopore structure controllability, NATMs are expected to have outstanding selectivity through molecular sieving while achieving ultimate permeance at the same time. However, a drastic selectivity discrepancy exists between the proof-of-concept demonstrations and scalable separation applications in graphene membranes. In this paper, we offer a possible solution to narrow this discrepancy by tuning the pore density and pore size separately with two successive plasma treatments. We demonstrate that by narrowing the pore size distribution, the selectivity of graphene membranes can be greatly increased. Low-energy argon plasma is first applied to nucleate high density of defects in graphene. Controlled oxygen plasma is then utilized to selectively enlarge the defects into nanopores with desired sizes. This method is scalable, and the fabricated 1 cm2 graphene NATMs with sub-nanometer pores can separate KCl and Allura Red with a selectivity of 104 and a permeance of 1.1 × 10-6 m s-1. The pores in NATMs can be further tuned from gas-selective sub-nanometer pores to a few nanometer size. The fabricated NATMs show a selectivity of 35 between CO2 and N2. With longer enlargement time, a selectivity of 21.2 between a lysozyme and bovine serum albumin can also be achieved with roughly four times higher permeance than that of a commercial dialysis membrane. This research offers a solution to realize NATMs of tunable pore size with a narrow pore size distribution for different separation processes from sub-nanometer in gas separation or desalination to a few nanometers in dialysis.
Collapse
Affiliation(s)
- Xiaobo Chen
- Institute of Microelectronics, School of Electronics Engineering and Computer Science, Peking University, Beijing 100871, China
| | - Shengping Zhang
- Institute of Microelectronics, School of Electronics Engineering and Computer Science, Peking University, Beijing 100871, China
- Academy for Advanced Interdisciplinary Studies, Peking University, Beijing 100871, China
- Beijing Graphene Institute, Beijing 100095, China
- Center for Nanochemistry, Beijing Science and Engineering Center for Nanocarbons, College of Chemistry and Molecular Engineering, Peking University, Beijing 100871, China
| | - Dandan Hou
- Institute of Microelectronics, School of Electronics Engineering and Computer Science, Peking University, Beijing 100871, China
- Beijing Graphene Institute, Beijing 100095, China
| | - Hongwei Duan
- Institute of Microelectronics, School of Electronics Engineering and Computer Science, Peking University, Beijing 100871, China
- Academy for Advanced Interdisciplinary Studies, Peking University, Beijing 100871, China
| | - Bing Deng
- Center for Nanochemistry, Beijing Science and Engineering Center for Nanocarbons, Beijing National Laboratory for Molecular Sciences, College of Chemistry and Molecular Engineering, Peking University, Beijing 100871, China
| | - Zhiyang Zeng
- Institute of Microelectronics, School of Electronics Engineering and Computer Science, Peking University, Beijing 100871, China
| | - Bingyao Liu
- Academy for Advanced Interdisciplinary Studies, Peking University, Beijing 100871, China
- Beijing Graphene Institute, Beijing 100095, China
- Electron Microscopy Laboratory, School of Physics, Peking University, Beijing 100871, China
- Center for Nanochemistry, Beijing Science and Engineering Center for Nanocarbons, College of Chemistry and Molecular Engineering, Peking University, Beijing 100871, China
| | - Luzhao Sun
- Center for Nanochemistry, Beijing Science and Engineering Center for Nanocarbons, Beijing National Laboratory for Molecular Sciences, College of Chemistry and Molecular Engineering, Peking University, Beijing 100871, China
| | - Ruiyang Song
- Institute of Microelectronics, School of Electronics Engineering and Computer Science, Peking University, Beijing 100871, China
| | - Jinlong Du
- Electron Microscopy Laboratory, School of Physics, Peking University, Beijing 100871, China
| | - Peng Gao
- Academy for Advanced Interdisciplinary Studies, Peking University, Beijing 100871, China
- Beijing Graphene Institute, Beijing 100095, China
- Electron Microscopy Laboratory, School of Physics, Peking University, Beijing 100871, China
- International Center for Quantum Materials, School of Physics, Peking University, Beijing 100871, China
- Collaborative Innovation Center of Quantum Matter, Beijing 100871, China
| | - Hailin Peng
- Center for Nanochemistry, Beijing Science and Engineering Center for Nanocarbons, Beijing National Laboratory for Molecular Sciences, College of Chemistry and Molecular Engineering, Peking University, Beijing 100871, China
- Beijing Graphene Institute, Beijing 100095, China
| | - Zhongfan Liu
- Center for Nanochemistry, Beijing Science and Engineering Center for Nanocarbons, Beijing National Laboratory for Molecular Sciences, College of Chemistry and Molecular Engineering, Peking University, Beijing 100871, China
- Beijing Graphene Institute, Beijing 100095, China
| | - Luda Wang
- Institute of Microelectronics, School of Electronics Engineering and Computer Science, Peking University, Beijing 100871, China
- Academy for Advanced Interdisciplinary Studies, Peking University, Beijing 100871, China
- Beijing Graphene Institute, Beijing 100095, China
- Center for Nanochemistry, Beijing Science and Engineering Center for Nanocarbons, College of Chemistry and Molecular Engineering, Peking University, Beijing 100871, China
| |
Collapse
|
28
|
Kim S, Oh JH, Park CH. Development of Energy-Efficient Superhydrophobic Polypropylene Fabric by Oxygen Plasma Etching and Thermal Aging. Polymers (Basel) 2020; 12:E2756. [PMID: 33238417 PMCID: PMC7700148 DOI: 10.3390/polym12112756] [Citation(s) in RCA: 11] [Impact Index Per Article: 2.8] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Grants] [Track Full Text] [Download PDF] [Figures] [Journal Information] [Subscribe] [Scholar Register] [Received: 11/05/2020] [Revised: 11/14/2020] [Accepted: 11/16/2020] [Indexed: 01/03/2023] Open
Abstract
This study developed a human-friendly energy-efficient superhydrophobic polypropylene (PP) fabric by oxygen plasma etching and short-term thermal aging without additional chemicals. The effect of the microroughness on the superhydrophobicity was examined by adjusting the weave density. After the PP fabric was treated with oxygen plasma etching for 15 min and thermal aging at 120 °C for 1 h (E15H120 1 h), the static contact and shedding angles were 162.7° ± 2.4° and 5.2° ± 0.7° and the energy consumption was 136.4 ± 7.0 Wh. Oxygen plasma etching for 15 min and thermal aging at 120 °C for 24 h (E15H120 24 h) resulted in a static contact and shedding angle of 180.0° ± 0.0° and 1.8° ± 0.2° and energy consumption of 3628.5 ± 82.6 Wh. E15H120 1 h showed a lower shedding angle but had a higher sliding angle of 90°. E15H120 24 h exhibited shedding and sliding angles of less than 10°. Regardless of the thermal aging time, superhydrophobicity was higher in high-density fabrics than in low-density fabrics. The superhydrophobic PP fabric had a similar water vapor transmission rate and air permeability with the untreated PP fabric, and it showed a self-heading property after washing followed by tumble drying and hot pressing.
Collapse
Affiliation(s)
- Shinyoung Kim
- Department of Textiles, Merchandising and Fashion Design, Seoul National University, Seoul 08826, Korea; (S.K.); (J.-H.O.)
| | - Ji-Hyun Oh
- Department of Textiles, Merchandising and Fashion Design, Seoul National University, Seoul 08826, Korea; (S.K.); (J.-H.O.)
- Department of Chemical and Biomolecular Engineering, North Carolina State University, Raleigh, NC 27695, USA
| | - Chung Hee Park
- Department of Textiles, Merchandising and Fashion Design, Seoul National University, Seoul 08826, Korea; (S.K.); (J.-H.O.)
| |
Collapse
|
29
|
Hui LS, Munir M, Vuong A, Hilke M, Wong V, Fanchini G, Scharber MC, Sariciftci NS, Turak A. Universal Transfer Printing of Micelle-Templated Nanoparticles Using Plasma-Functionalized Graphene. ACS Appl Mater Interfaces 2020; 12:46530-46538. [PMID: 32940032 PMCID: PMC7564086 DOI: 10.1021/acsami.0c12178] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.3] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Figures] [Subscribe] [Scholar Register] [Received: 07/11/2020] [Accepted: 09/17/2020] [Indexed: 06/11/2023]
Abstract
Nanostructure incorporation into devices plays a key role in improving performance, yet processes for preparing two-dimensional (2D) arrays of colloidal nanoparticles tend not to be universally applicable, particularly for soft and oxygen-sensitive substrates for organic and perovskite-based electronics. Here, we show a method of transferring reverse micelle-deposited (RMD) nanoparticles (perovskite and metal oxide) on top of an organic layer, using a functionalized graphene carrier layer for transfer printing. As the technique can be applied universally to RMD nanoparticles, we used magnetic (γ-Fe2O3) and luminescent (methylammonium lead bromide (MAPbBr3)) nanoparticles to validate the transfer-printing methodology. The strong photoluminescence from the MAPbBr3 under UV illumination and high intrinsic field of the γ-Fe2O3 as measured by magnetic force microscopy (MFM), coupled with Raman measurements of the graphene layer, confirm that all components survive the transfer-printing process with little loss of properties. Such an approach to introducing uniform 2D arrays of nanoparticles onto sensitive substrates opens up new avenues to tune the device interfacial properties.
Collapse
Affiliation(s)
- Lok Shu Hui
- Department
of Engineering Physics, McMaster University, Hamilton L8S 4L7, Ontario, Canada
| | - Muhammad Munir
- Department
of Engineering Physics, McMaster University, Hamilton L8S 4L7, Ontario, Canada
| | - An Vuong
- Department
of Physics, McGill University, Montreal H3A 2T8, Quebec, Canada
| | - Michael Hilke
- Department
of Physics, McGill University, Montreal H3A 2T8, Quebec, Canada
| | - Victor Wong
- Department
of Physics and Astronomy, University of
Western Ontario, London N6A 3K7, Ontario, Canada
| | - Giovanni Fanchini
- Department
of Physics and Astronomy, University of
Western Ontario, London N6A 3K7, Ontario, Canada
| | - Markus Clark Scharber
- Linz
Institute for Organic Solar Cells (LIOS), Institute of Physical Chemistry, Johannes Kepler University, Linz 4040, Austria
| | - Niyazi Serdar Sariciftci
- Linz
Institute for Organic Solar Cells (LIOS), Institute of Physical Chemistry, Johannes Kepler University, Linz 4040, Austria
| | - Ayse Turak
- Department
of Engineering Physics, McMaster University, Hamilton L8S 4L7, Ontario, Canada
| |
Collapse
|
30
|
Abstract
Desoxyribonucleic acid (DNA) origami architectures are a promising tool for ultimate lithography because of their ability to generate nanostructures with a minimum feature size down to 2 nm. In this paper, we developed a method for silicon (Si) nanopatterning to face up current limitations for high-resolution patterning with standard microelectronic processes. For the first time, a 2 nm-thick 2D DNA origami mask, with specific design composed of three different square holes (with a size of 10 and 20 nm), is used for positive pattern transfer into a Si substrate using a 15 nm-thick silicon dioxide (SiO2) layer as an intermediate hard mask. First, the origami mask is transferred onto the SiO2 underlayer, by an HF vapor-etching process. Then, the Si underlayer is etched using an HBr/O2 plasma. Each hole is transferred in the SiO2 layer and the 20 nm-sized holes are transferred into the final stack (Si). The resulting patterns exhibited a lateral resolution in the range of 20 nm and a depth of 40 nm. Patterns are fully characterized by atomic force microscopy, scanning electron microscopy, focused ion beam-transmission electron microscopy, and ellipsometry measurements.
Collapse
Affiliation(s)
| | | | | | | | | | - Raluca Tiron
- CEA, LETI, MINATEC Campus, F-38054 Grenoble, France
| |
Collapse
|
31
|
Fraternali F, Stehling N, Amendola A, Tiban Anrango BA, Holland C, Rodenburg C. Tensegrity Modelling and the High Toughness of Spider Dragline Silk. Nanomaterials (Basel) 2020; 10:E1510. [PMID: 32752054 PMCID: PMC7466511 DOI: 10.3390/nano10081510] [Citation(s) in RCA: 5] [Impact Index Per Article: 1.3] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Grants] [Track Full Text] [Download PDF] [Figures] [Subscribe] [Scholar Register] [Received: 06/22/2020] [Revised: 07/28/2020] [Accepted: 07/29/2020] [Indexed: 01/21/2023]
Abstract
This work establishes a tensegrity model of spider dragline silk. Tensegrity systems are ubiquitous in nature, being able to capture the mechanics of biological shapes through simple and effective modes of deformation via extension and contraction. Guided by quantitative microstructural characterization via air plasma etching and low voltage scanning electron microscopy, we report that this model is able to capture experimentally observed phenomena such as the Poisson effect, tensile stress-strain response, and fibre toughness. This is achieved by accounting for spider silks' hierarchical organization into microfibrils with radially variable properties. Each fibril is described as a chain of polypeptide tensegrity units formed by crystalline granules operating under compression, which are connected to each other by amorphous links acting under tension. Our results demonstrate, for the first time, that a radial variability in the ductility of tensegrity chains is responsible for high fibre toughness, a defining and desirable feature of spider silk. Based on this model, a discussion about the use of graded tensegrity structures for the optimal design of next-generation biomimetic fibres is presented.
Collapse
Affiliation(s)
- Fernando Fraternali
- Department of Civil Engineering, University of Salerno, 84084 Fisciano (SA), Italy
| | - Nicola Stehling
- Department of Materials Science & Engineering, University of Sheffield, Sir Robert Hadfield Building, Mappin Street, Sheffield S1 3JD, UK
| | - Ada Amendola
- Department of Civil Engineering, University of Salerno, 84084 Fisciano (SA), Italy
| | - Bryan Andres Tiban Anrango
- Centre for Biomedical and Chemical Science School of Science, Auckland University of Technology, Auckland 1010, New Zealand
| | - Chris Holland
- Department of Materials Science & Engineering, University of Sheffield, Sir Robert Hadfield Building, Mappin Street, Sheffield S1 3JD, UK
| | - Cornelia Rodenburg
- Department of Materials Science & Engineering, University of Sheffield, Sir Robert Hadfield Building, Mappin Street, Sheffield S1 3JD, UK
| |
Collapse
|
32
|
Xu J, Moon H, Xu J, Lim J, Fischer T, McNally HA, Sintim HO, Lee H. One-Step Large-Scale Nanotexturing of Nonplanar PTFE Surfaces to Induce Bactericidal and Anti-inflammatory Properties. ACS Appl Mater Interfaces 2020; 12:26893-26904. [PMID: 32437600 PMCID: PMC8176282 DOI: 10.1021/acsami.0c04729] [Citation(s) in RCA: 9] [Impact Index Per Article: 2.3] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [MESH Headings] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 05/08/2023]
Abstract
Here we demonstrate a simple and scalable nanotexturing method for both planar (films) and nonplanar (tubes) polytetrafluoroethylene (PTFE) surfaces using a commercial desktop oxygen plasma etcher. The simple process can generate semiordered nanopillar structures on both tubular and planar samples with high radial and axial uniformity. We found that the resulting surfaces exhibit good in vitro bactericidal and in vivo anti-inflammatory properties. When tested against Staphylococcus aureus, the nanotextured surfaces showed significantly decreased live bacteria coverage and increased dead bacteria coverage, demonstrating significant bactericidal functionality. Moreover, the etched planar PTFE films exhibited better healing and inflammatory responses in the subcutis of C57BL/6 mice over 7 and 21 days, evidenced by a thinner inflammatory band, lower collagen deposition, and decreased macrophage infiltration. Our results suggest the possibility of using this simple process to generate large scale biomimetic nanotextured surfaces with good antibiofouling properties to enhance the functionality of many implantable and other biomedical devices.
Collapse
Affiliation(s)
- Jian Xu
- Weldon School of Biomedical Engineering, Birck Nanotechnology Center, Center for Implantable Devices, Purdue University, West Lafayette, Indiana 47907, United States
| | - Haesoo Moon
- Weldon School of Biomedical Engineering, Birck Nanotechnology Center, Center for Implantable Devices, Purdue University, West Lafayette, Indiana 47907, United States
| | - Jinjia Xu
- Weldon School of Biomedical Engineering, Birck Nanotechnology Center, Center for Implantable Devices, Purdue University, West Lafayette, Indiana 47907, United States
| | - Jongcheon Lim
- Weldon School of Biomedical Engineering, Birck Nanotechnology Center, Center for Implantable Devices, Purdue University, West Lafayette, Indiana 47907, United States
| | - Thomas Fischer
- School of Engineering Technology, Birck Nanotechnology Center, Purdue University, West Lafayette, Indiana 47907, United States
| | - Helen A McNally
- School of Engineering Technology, Birck Nanotechnology Center, Purdue University, West Lafayette, Indiana 47907, United States
| | - Herman O Sintim
- Department of Chemistry, Center for Drug Discovery, Purdue Institute of Inflammation, Immunology and Infectious Disease, Purdue University, West Lafayette, Indiana 47907, United States
| | - Hyowon Lee
- Weldon School of Biomedical Engineering, Birck Nanotechnology Center, Center for Implantable Devices, Purdue University, West Lafayette, Indiana 47907, United States
| |
Collapse
|
33
|
Lapenna A, Fanelli F, Fracassi F, Armenise V, Angarano V, Palazzo G, Mallardi A. Direct Exposure of Dry Enzymes to Atmospheric Pressure Non-Equilibrium Plasmas: The Case of Tyrosinase. Materials (Basel) 2020; 13:E2181. [PMID: 32397486 DOI: 10.3390/ma13092181] [Citation(s) in RCA: 6] [Impact Index Per Article: 1.5] [Reference Citation Analysis] [What about the content of this article? (0)] [Abstract] [Key Words] [Track Full Text] [Download PDF] [Figures] [Subscribe] [Scholar Register] [Received: 04/06/2020] [Revised: 04/30/2020] [Accepted: 05/06/2020] [Indexed: 02/07/2023]
Abstract
The direct interaction of atmospheric pressure non-equilibrium plasmas with tyrosinase (Tyr) was investigated under typical conditions used in surface processing. Specifically, Tyr dry deposits were exposed to dielectric barrier discharges (DBDs) fed with helium, helium/oxygen, and helium/ethylene mixtures, and effects on enzyme functionality were evaluated. First of all, results show that DBDs have a measurable impact on Tyr only when experiments were carried out using very low enzyme amounts. An appreciable decrease in Tyr activity was observed upon exposure to oxygen-containing DBD. Nevertheless, the combined use of X-ray photoelectron spectroscopy and white-light vertical scanning interferometry revealed that, in this reactive environment, Tyr deposits displayed remarkable etching resistance, reasonably conferred by plasma-induced changes in their surface chemical composition as well as by their coffee-ring structure. Ethylene-containing DBDs were used to coat tyrosinase with a hydrocarbon polymer film, in order to obtain its immobilization. In particular, it was found that Tyr activity can be fully retained by properly adjusting thin film deposition conditions. All these findings enlighten a high stability of dry enzymes in various plasma environments and open new opportunities for the use of atmospheric pressure non-equilibrium plasmas in enzyme immobilization strategies.
Collapse
|
34
|
Rodrigues MS, Borges J, Vaz F. Enhancing the Sensitivity of Nanoplasmonic Thin Films for Ethanol Vapor Detection. Materials (Basel) 2020; 13:ma13040870. [PMID: 32075197 PMCID: PMC7079638 DOI: 10.3390/ma13040870] [Citation(s) in RCA: 6] [Impact Index Per Article: 1.5] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Download PDF] [Figures] [Subscribe] [Scholar Register] [Received: 01/16/2020] [Revised: 01/30/2020] [Accepted: 02/13/2020] [Indexed: 01/10/2023]
Abstract
Nanoplasmonic thin films, composed of noble metal nanoparticles (gold) embedded in an oxide matrix, have been a subject of considerable interest for Localized Surface Plasmon Resonance (LSPR) sensing. Ethanol is one of the promising materials for fuel cells, and there is an urgent need of a new generation of safe optical sensors for its detection. In this work, we propose the development of sensitive plasmonic platforms to detect molecular analytes (ethanol) through changes of the LSPR band. The thin films were deposited by sputtering followed by a heat treatment to promote the growth of the gold nanoparticles. To enhance the sensitivity of the thin films and the signal-to-noise ratio (SNR) of the transmittance–LSPR sensing system, physical plasma etching was used, resulting in a six-fold increase of the exposed gold nanoparticle area. The transmittance signal at the LSPR peak position increased nine-fold after plasma treatment, and the quality of the signal increased six times (SNR up to 16.5). The optimized thin films seem to be promising candidates to be used for ethanol vapor detection. This conclusion is based not only on the current sensitivity response but also on its enhancement resulting from the optimization routines of thin films’ architectures, which are still under investigation.
Collapse
|
35
|
Gao RT, He D, Wu L, Hu K, Liu X, Su Y, Wang L. Towards Long-Term Photostability of Nickel Hydroxide/BiVO 4 Photoanodes for Oxygen Evolution Catalysts via In Situ Catalyst Tuning. Angew Chem Int Ed Engl 2020; 59:6213-6218. [PMID: 31960559 DOI: 10.1002/anie.201915671] [Citation(s) in RCA: 55] [Impact Index Per Article: 13.8] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Received: 12/08/2019] [Indexed: 11/11/2022]
Abstract
Increasing long-term photostability of BiVO4 photoelectrode is an important issue for solar water splitting. The NiOOH oxygen evolution catalyst (OEC) has fast water oxidation kinetics compared to the FeOOH OEC. However, it generally shows a lower photoresponse and poor stability because of the more substantial interface recombination at the NiOOH/BiVO4 junction. Herein, we utilize a plasma etching approach to reduce both interface/surface recombination at NiOOH/BiVO4 and NiOOH/electrolyte junctions. Further, adding Fe2+ into the borate buffer electrolyte alleviates the active but unstable character of etched-NiOOH/BiVO4 , leading to an outstanding oxygen evolution over 200 h. The improved charge transfer and photostability can be attributed to the active defects and a mixture of NiOOH/NiO/Ni in OEC induced by plasma etching. Metallic Ni acts as the ion source for the in situ generation of the NiFe OEC over long-term durability.
Collapse
Affiliation(s)
- Rui-Ting Gao
- School of Chemistry and Chemical Engineering & Inner Mongolia Engineering and Technology Research Center for Catalytic Conversion and Utilization of Carbon Resource Molecules, Inner Mongolia University, 235 West University Street, Hohhot, 010021, China
| | - Dan He
- School of Chemistry and Chemical Engineering & Inner Mongolia Engineering and Technology Research Center for Catalytic Conversion and Utilization of Carbon Resource Molecules, Inner Mongolia University, 235 West University Street, Hohhot, 010021, China
| | - Lijun Wu
- School of Chemistry and Chemical Engineering & Inner Mongolia Engineering and Technology Research Center for Catalytic Conversion and Utilization of Carbon Resource Molecules, Inner Mongolia University, 235 West University Street, Hohhot, 010021, China
| | - Kan Hu
- School of Chemistry and Chemical Engineering & Inner Mongolia Engineering and Technology Research Center for Catalytic Conversion and Utilization of Carbon Resource Molecules, Inner Mongolia University, 235 West University Street, Hohhot, 010021, China
| | - Xianhu Liu
- Key Laboratory of Materials Processing and Mold, Ministry of Education, Zhengzhou University, Zhengzhou, 450002, China
| | - Yiguo Su
- School of Chemistry and Chemical Engineering & Inner Mongolia Engineering and Technology Research Center for Catalytic Conversion and Utilization of Carbon Resource Molecules, Inner Mongolia University, 235 West University Street, Hohhot, 010021, China
| | - Lei Wang
- School of Chemistry and Chemical Engineering & Inner Mongolia Engineering and Technology Research Center for Catalytic Conversion and Utilization of Carbon Resource Molecules, Inner Mongolia University, 235 West University Street, Hohhot, 010021, China.,Key Laboratory of Materials Processing and Mold, Ministry of Education, Zhengzhou University, Zhengzhou, 450002, China
| |
Collapse
|
36
|
Jeong S, Kim MW, Jo YR, Kim NY, Kang D, Lee SY, Yim SY, Kim BJ, Kim JH. Hollow Porous Gold Nanoshells with Controlled Nanojunctions for Highly Tunable Plasmon Resonances and Intense Field Enhancements for Surface-Enhanced Raman Scattering. ACS Appl Mater Interfaces 2019; 11:44458-44465. [PMID: 31718128 DOI: 10.1021/acsami.9b16983] [Citation(s) in RCA: 21] [Impact Index Per Article: 4.2] [Reference Citation Analysis] [What about the content of this article? (0)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 05/20/2023]
Abstract
Plasmonic metal nanostructures with nanogaps have attracted great interest owing to their controllable optical properties and intense electromagnetic fields that can be useful for a variety of applications, but precise and reliable control of nanogaps in three-dimensional nanostructures remains a great challenge. Here, we report the control of nanojunctions of hollow porous gold nanoshell (HPAuNS) structures by a facile oxygen plasma-etching process and the influence of changes in nanocrevices of the interparticle junction on the optical and sensing characteristics of HPAuNSs. We demonstrate a high tunability of the localized surface plasmon resonance (LSPR) peaks and surface-enhanced Raman scattering (SERS) detection of rhodamine 6G (R6G) using HPAuNS structures with different nanojunctions by varying the degree of gold sintering. As the neck region of the nanojunction is further sintered, the main LSPR peak shifts from 785 to 1350 nm with broadening because the charge transfer plasmon mode becomes more dominant than the dipolar plasmon mode, resulting from the increase of conductance at the interparticle junctions. In addition, it is demonstrated that an increase in the sharpness of the nanojunction neck can enhance the SERS enhancement factor of the HPAuNS by up to 4.8-fold. This enhancement can be ascribed to the more intense local electromagnetic fields at the sharper nanocrevices of interparticle junctions. The delicate change of nanojunction structures in HPAuNSs can significantly affect their optical spectrum and electromagnetic field intensity, which are critical for their practical use in a SERS-based analytical sensor as well as multiple-wavelength compatible applications.
Collapse
|
37
|
Lee J, Lee JY, Yeo JS. Large-Area Nanopatterning Based on Field Alignment by the Microscale Metal Mask for the Etching Process. ACS Appl Mater Interfaces 2019; 11:36177-36185. [PMID: 31495170 DOI: 10.1021/acsami.9b09730] [Citation(s) in RCA: 2] [Impact Index Per Article: 0.4] [Reference Citation Analysis] [What about the content of this article? (0)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/10/2023]
Abstract
Recently, researchers have dedicated efforts toward producing large-area nanostructures using advanced lithography techniques and state-of-the-art etching methods. However, these processes involve challenges such as the diffraction limit and an unintended etching profile. In this work, we demonstrate large-area nanopatterning on a silicon substrate using the microscale metal mask by meticulous optimization of the etching process. Around the vertex of a microscale metal mask, a locally induced electric field is generated by a bias voltage applied on a silicon mold. We utilize this field to change the trajectory of reactive ions and their effect flux, thus providing a controllable bowing effect. The results are analyzed by both numerical simulations and experiments. Based on the field alignment by the metal mask for the etching (FAME) process, we demonstrate the fabrication of 378 nm-size nanostructure patterns which translate to a size reduction of 63% from 1 μm-size mask patterns on a wafer by optimization of the processes. This is much higher than the undercut (∼37%) usually achieved by a typical non-Bosch process under similar etching conditions. The optimized nanostructure is used as a mold for the transfer printing of nanostructure arrays on a flexible substrate to demonstrate that it enables the functionality of FAME-processed nanostructures.
Collapse
|
38
|
Rao P, Cui P, Wei Z, Wang M, Ma J, Wang Y, Zhao X. Integrated N-Co/Carbon Nanofiber Cathode for Highly Efficient Zinc-Air Batteries. ACS Appl Mater Interfaces 2019; 11:29708-29717. [PMID: 31347824 DOI: 10.1021/acsami.9b04648] [Citation(s) in RCA: 5] [Impact Index Per Article: 1.0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/10/2023]
Abstract
In order to reduce the charge-transfer resistance, ohmic resistance, and ionic and electronic resistances arising from the polymer binder, designing and constructing self-standing and binder-free porous electrodes are very significant for energy storage and conversion devices. Herein, self-standing and binder-free porous N-Co carbon nanofiber (N-Co/CNF) cathodes are prepared for zinc-air batteries (ZABs) by an in situ electrospinning/plasma-etching method. The morphology and activity of the prepared electrodes are investigated by several characterization techniques. The prepared specimens exhibit a multilayered CNF structure, and a new CoN compound is produced after plasma-etching treatment. The N-Co/CNF-300-10 cathode demonstrates excellent electrocatalytic performance toward oxygen reduction reaction, with an onset potential and a half-wave potential of 0.995 and 0.853 V (vs reversible hydrogen electrode), respectively, which is comparable to that of 20% Pt/C. The N-Co/CNF-300-10 cathode acting as a self-standing electrode for ZABs exhibits a maximum discharge power density as high as 229 mW cm-2 and a specific capacity of 659.6 mA h gZn-1, which are much higher than those of the commercial catalysts, benefiting from the self-standing porous structure, N-doping, and more defects and active sites induced by plasma-etching. It provides an effective way to construct a self-standing porous electrode with controllable compositions for rechargeable metal-air batteries.
Collapse
Affiliation(s)
- Peng Rao
- School of Mechanical Engineering , Jiangsu University , Zhenjiang 212013 , P. R. China
| | - Peng Cui
- School of Physics and Electronic Engineering , Jiangsu Normal University , Xuzhou 221116 , P. R. China
| | - Zengxi Wei
- School of Physics and Electronics , Hunan University , Changsha 410082 , P. R. China
| | - Maosen Wang
- School of Mechanical Engineering , Jiangsu University , Zhenjiang 212013 , P. R. China
| | - Jianmin Ma
- School of Physics and Electronics , Hunan University , Changsha 410082 , P. R. China
- Key Laboratory of Materials Processing and Mold, Ministry of Education , Zhengzhou University , Zhengzhou 450002 , P. R. China
| | - Yun Wang
- School of Mechanical Engineering , Jiangsu University , Zhenjiang 212013 , P. R. China
| | - Xinsheng Zhao
- School of Physics and Electronic Engineering , Jiangsu Normal University , Xuzhou 221116 , P. R. China
| |
Collapse
|
39
|
Chen Y, Shi D, Chen Y, Chen X, Gao J, Zhao N, Wong CP. A Facile, Low-Cost Plasma Etching Method for Achieving Size Controlled Non-Close-Packed Monolayer Arrays of Polystyrene Nano-Spheres. Nanomaterials (Basel) 2019; 9:nano9040605. [PMID: 31013724 PMCID: PMC6523458 DOI: 10.3390/nano9040605] [Citation(s) in RCA: 15] [Impact Index Per Article: 3.0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Download PDF] [Figures] [Subscribe] [Scholar Register] [Received: 02/17/2019] [Revised: 04/04/2019] [Accepted: 04/05/2019] [Indexed: 02/04/2023]
Abstract
Monolayer nano-sphere arrays attract great research interest as they can be used as templates to fabricate various nano-structures. Plasma etching, and in particular high-frequency plasma etching, is the most commonly used method to obtain non-close-packed monolayer arrays. However, the method is still limited in terms of cost and efficiency. In this study, we demonstrate that a low frequency (40 kHz) plasma etching system can be used to fabricate non-close-packed monolayer arrays of polystyrene (PS) nano-spheres with smooth surfaces and that the etching rate is nearly doubled compared to that of the high-frequency systems. The study reveals that the low-frequency plasma etching process is dominated by a thermal evaporation etching mechanism, which is different from the atom-scale dissociation mechanism that underlines the high-frequency plasma etching. It is found that the polystyrene nano-sphere size can be precisely controlled by either adjusting the etching time or power. Through introducing oxygen as the assisting gas in the low frequency plasma etching system, we achieved a coalesced polystyrene nano-sphere array and used it as a template for metal-assisted chemical etching. We demonstrate that the method can significantly improve the aspect ratio of the silicon nanowires to over 200 due to the improved flexure rigidity.
Collapse
Affiliation(s)
- Yun Chen
- State Key Laboratory of Precision Electronic Manufacturing Technology and Equipment, Guangdong University of Technology, Guangzhou 510006, China.
- School of Engineering, The Chinese University of Hong Kong, Shatin 999077, Hong Kong, China.
| | - Dachuang Shi
- State Key Laboratory of Precision Electronic Manufacturing Technology and Equipment, Guangdong University of Technology, Guangzhou 510006, China.
| | - Yanhui Chen
- Key Laboratory of Precision Microelectronic Manufacturing Technology & Equipment of Ministry of Education, Guangdong University of Technology, Guangzhou 510006, China.
| | - Xun Chen
- State Key Laboratory of Precision Electronic Manufacturing Technology and Equipment, Guangdong University of Technology, Guangzhou 510006, China.
| | - Jian Gao
- State Key Laboratory of Precision Electronic Manufacturing Technology and Equipment, Guangdong University of Technology, Guangzhou 510006, China.
| | - Ni Zhao
- School of Engineering, The Chinese University of Hong Kong, Shatin 999077, Hong Kong, China.
| | - Ching-Ping Wong
- Key Laboratory of Precision Microelectronic Manufacturing Technology & Equipment of Ministry of Education, Guangdong University of Technology, Guangzhou 510006, China.
- School of Materials Science and Engineering, Georgia Institute of Technology, Atlanta, GA 30332, USA.
| |
Collapse
|
40
|
Marneffe JFD, Chan BT, Spieser M, Vereecke G, Naumov S, Vanhaeren D, Wolf H, Knoll AW. Conversion of a Patterned Organic Resist into a High Performance Inorganic Hard Mask for High Resolution Pattern Transfer. ACS Nano 2018; 12:11152-11160. [PMID: 30481961 DOI: 10.1021/acsnano.8b05596] [Citation(s) in RCA: 9] [Impact Index Per Article: 1.5] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 05/11/2023]
Abstract
Polyphthalaldehyde is a self-developing resist material for electron beam and thermal scanning probe lithography (t-SPL). Removing the resist in situ (during the lithography process itself) simplifies processing and enables direct pattern inspection, however, at the price of a low etch resistance of the resist. To convert the material into a etch resistant hard mask, we study the selective cyclic infiltration of trimethyl-aluminum (TMA)/water into polyphthalaldehyde. It is found that TMA diffuses homogeneously through the resist, leading to material expansion and formation of aluminum oxide concurrent to the exposure to water and the degradation of the polyphthalaldehyde polymer. The plasma etch resistance of the infiltrated resist is significantly improved, as well as its stability. Using a silicon substrate coated with 13 nm silicon nitride and 7 nm cross-linked polystyrene, high resolution polyphthalaldehyde patterning is performed using t-SPL. After TMA/H2O infiltration, it is demonstrated that pattern transfer into silicon can be achieved with good fidelity for structures as small as 10 nm, enabling >10× amplification and low surface roughness. The presented results demonstrate a simplified use of polyphthalaldehyde resist, targeting feature scales at nanometer range, and suggest that trimethyl-aluminum infiltration can be applied to other resist-based lithography techniques.
Collapse
Affiliation(s)
| | | | | | | | - Sergej Naumov
- Leibniz Institute of Surface Engineering - IOM , Leipzig 04318 , Germany
| | | | - Heiko Wolf
- IBM Research - Zurich , Rüschlikon CH-8803 , Switzerland
| | - Armin W Knoll
- IBM Research - Zurich , Rüschlikon CH-8803 , Switzerland
| |
Collapse
|
41
|
Mameli A, Verheijen MA, Mackus AJM, Kessels WMM, Roozeboom F. Isotropic Atomic Layer Etching of ZnO Using Acetylacetone and O 2 Plasma. ACS Appl Mater Interfaces 2018; 10:38588-38595. [PMID: 30286289 PMCID: PMC6225338 DOI: 10.1021/acsami.8b12767] [Citation(s) in RCA: 2] [Impact Index Per Article: 0.3] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 05/16/2023]
Abstract
Atomic layer etching (ALE) provides Ångström-level control over material removal and holds potential for addressing the challenges in nanomanufacturing faced by conventional etching techniques. Recent research has led to the development of two main classes of ALE: ion-driven plasma processes yielding anisotropic (or directional) etch profiles and thermally driven processes for isotropic material removal. In this work, we extend the possibilities to obtain isotropic etching by introducing a plasma-based ALE process for ZnO which is radical-driven and utilizes acetylacetone (Hacac) and O2 plasma as reactants. In situ spectroscopic ellipsometry measurements indicate self-limiting half-reactions with etch rates ranging from 0.5 to 1.3 Å/cycle at temperatures between 100 and 250 °C. The ALE process was demonstrated on planar and three-dimensional substrates consisting of a regular array of semiconductor nanowires (NWs) conformally covered using atomic layer deposition of ZnO. Transmission electron microscopy studies conducted on the ZnO-covered NWs before and after ALE proved the isotropic nature and the damage-free characteristics of the process. In situ infrared spectroscopy measurements were used to elucidate the self-limiting nature of the ALE half-reactions and the reaction mechanism. During the Hacac etching reaction that is assumed to produce Zn(acac)2, carbonaceous species adsorbed on the ZnO surface are suggested as the cause of the self-limiting behavior. The subsequent O2 plasma step resets the surface for the next ALE cycle. High etch selectivities (∼80:1) over SiO2 and HfO2 were demonstrated. Preliminary results indicate that the etching process can be extended to other oxides such as Al2O3.
Collapse
Affiliation(s)
- A. Mameli
- Department
of Applied Physics, Eindhoven University
of Technology, P.O. Box 513, Eindhoven 5600 MB, The Netherlands
| | - M. A. Verheijen
- Department
of Applied Physics, Eindhoven University
of Technology, P.O. Box 513, Eindhoven 5600 MB, The Netherlands
| | - A. J. M. Mackus
- Department
of Applied Physics, Eindhoven University
of Technology, P.O. Box 513, Eindhoven 5600 MB, The Netherlands
| | - W. M. M. Kessels
- Department
of Applied Physics, Eindhoven University
of Technology, P.O. Box 513, Eindhoven 5600 MB, The Netherlands
| | - F. Roozeboom
- Department
of Applied Physics, Eindhoven University
of Technology, P.O. Box 513, Eindhoven 5600 MB, The Netherlands
- TNO-Holst
Centre, High Tech Campus
21, Eindhoven 5656 AE, The Netherlands
- E-mail:
| |
Collapse
|
42
|
Memos G, Lidorikis E, Kokkoris G. Roughness Evolution and Charging in Plasma-Based Surface Engineering of Polymeric Substrates: The Effects of Ion Reflection and Secondary Electron Emission. Micromachines (Basel) 2018; 9:E415. [PMID: 30424348 PMCID: PMC6187714 DOI: 10.3390/mi9080415] [Citation(s) in RCA: 16] [Impact Index Per Article: 2.7] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Download PDF] [Figures] [Subscribe] [Scholar Register] [Received: 07/11/2018] [Revised: 08/04/2018] [Accepted: 08/16/2018] [Indexed: 11/18/2022]
Abstract
The interaction of plasma with polymeric substrates generates both roughness and charging on the surface of the substrates. This work, toward the comprehension and, finally, the control of plasma-induced surface roughness, delves into the intertwined effects of surface charging, ion reflection, and secondary electron-electron emission (SEEE) on roughness evolution during plasma etching of polymeric substrates. For this purpose, a modeling framework consisting of a surface charging module, a surface etching model, and a profile evolution module is utilized. The case study is etching of a poly(methyl methacrylate) (PMMA) substrate by argon plasma. Starting from an initial surface profile with microscale roughness, the results show that the surface charging contributes to a faster elimination of the roughness compared to the case without charging, especially when ion reflection is taken into account. Ion reflection sustains roughness; without ion reflection, roughness is eliminated. Either with or without ion reflection, the effect of SEEE on the evolution of the rms roughness over etching time is marginal. The mutual interaction of the roughness and the charging potential is revealed through the correlation of the charging potential with a parameter combining rms roughness and skewness of the surface profile. A practical implication of the current study is that the elimination or the reduction of surface charging will result in greater surface roughness of polymeric, and generally dielectric, substrates.
Collapse
Affiliation(s)
- George Memos
- Institute of Nanoscience and Nanotechnology, National Center for Scientific Research "Demokritos", Agia Paraskevi 15310, Greece.
- Department of Materials Science and Engineering, University of Ioannina, Ioannina 45110, Greece.
| | - Elefterios Lidorikis
- Department of Materials Science and Engineering, University of Ioannina, Ioannina 45110, Greece.
| | - George Kokkoris
- Institute of Nanoscience and Nanotechnology, National Center for Scientific Research "Demokritos", Agia Paraskevi 15310, Greece.
| |
Collapse
|
43
|
Du K, Jiang Y, Liu Y, Wathuthanthri I, Choi CH. Manipulation of the Superhydrophobicity of Plasma-Etched Polymer Nanostructures. Micromachines (Basel) 2018; 9:E304. [PMID: 30424237 PMCID: PMC6187546 DOI: 10.3390/mi9060304] [Citation(s) in RCA: 17] [Impact Index Per Article: 2.8] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Grants] [Track Full Text] [Download PDF] [Figures] [Subscribe] [Scholar Register] [Received: 05/15/2018] [Revised: 06/11/2018] [Accepted: 06/15/2018] [Indexed: 02/06/2023]
Abstract
The manipulation of droplet mobility on a nanotextured surface by oxygen plasma is demonstrated by modulating the modes of hydrophobic coatings and controlling the hierarchy of nanostructures. The spin-coating of polytetrafluoroethylene (PTFE) allows for heterogeneous hydrophobization of the high-aspect-ratio nanostructures and provides the nanostructured surface with "sticky hydrophobicity", whereas the self-assembled monolayer coating of perfluorodecyltrichlorosilane (FDTS) results in homogeneous hydrophobization and "slippery superhydrophobicity". While the high droplet adhesion (stickiness) on a nanostructured surface with the spin-coating of PTFE is maintained, the droplet contact angle is enhanced by creating hierarchical nanostructures via the combination of oxygen plasma etching with laser interference lithography to achieve "sticky superhydrophobicity". Similarly, the droplet mobility on a slippery nanostructured surface with the self-assembled monolayer coating of FDTS is also enhanced by employing the hierarchical nanostructures to achieve "slippery superhydrophobicity" with modulated slipperiness.
Collapse
Affiliation(s)
- Ke Du
- Department of Mechanical Engineering, Stevens Institute of Technology, Hoboken, NJ 07030, USA.
- Department of Chemistry, University of California-Berkeley, Berkeley, CA 94720, USA.
| | - Youhua Jiang
- Department of Mechanical Engineering, Stevens Institute of Technology, Hoboken, NJ 07030, USA.
| | - Yuyang Liu
- Department of Mechanical Engineering, Stevens Institute of Technology, Hoboken, NJ 07030, USA.
| | - Ishan Wathuthanthri
- Department of Mechanical Engineering, Stevens Institute of Technology, Hoboken, NJ 07030, USA.
- Northrop Grumman Mission Systems, Advanced Technology Labs, Linthicum, MD 21090, USA.
| | - Chang-Hwan Choi
- Department of Mechanical Engineering, Stevens Institute of Technology, Hoboken, NJ 07030, USA.
| |
Collapse
|
44
|
Abstract
In order to advance the performances of micro chemical and biochemical systems on a chip, the fabrication of microstructures such as channels and pillars is an essential basic technology. However, conventional fabrication methods based on wet etching have limitations in their applications for device engineering. In this study, we report on a new microchannel fabrication process on a fused silica substrate using photoresist and plasma etching based on C3F8, CHF3, and Ar gases. Deep, rectangular microchannels, having vertical angles close to 90°, 10 μm-scale deep and low surface roughness of less than 1 nm, could be fabricated on a fused silica substrate at high etching rates on the order of 5 - 7 nm s-1. This metal-free fabrication methodology is expected to be a low-cost, easy, and simple technique for a fused silica microstructure applications.
Collapse
Affiliation(s)
- Kyojiro Morikawa
- Department of Applied Chemistry, School of Engineering, The University of Tokyo
| | - Kazuki Matsushita
- Laboratory for Advanced Nuclear Energy, Institute of Innovative Research, Tokyo Institute of Technology
| | - Takehiko Tsukahara
- Laboratory for Advanced Nuclear Energy, Institute of Innovative Research, Tokyo Institute of Technology
| |
Collapse
|
45
|
Baquedano E, Torné L, Caño P, Postigo PA. Increased Efficiency of Solar Cells Protected by Hydrophobic and Hydrophilic Anti-Reflecting Nanostructured Glasses. Nanomaterials (Basel) 2017; 7:nano7120437. [PMID: 29240663 PMCID: PMC5746927 DOI: 10.3390/nano7120437] [Citation(s) in RCA: 21] [Impact Index Per Article: 3.0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Download PDF] [Figures] [Subscribe] [Scholar Register] [Received: 10/04/2017] [Revised: 10/31/2017] [Accepted: 12/06/2017] [Indexed: 11/16/2022]
Abstract
We investigated the fabrication of large-area (cm2) nanostructured glasses for solar cell modules with hydrophobic and hydrophilic properties using soft lithography and colloidal lithography. Both of these techniques entail low-cost and ease of nanofabrication. We explored the use of simple 1D and 2D nanopatterns (nanowires and nanocones) and the effect of introducing disorder in the nanostructures. We observed an increase in the transmitted light for ordered nanostructures with a maximum value of 99% for wavelengths >600 nm when ordered nanocones are fabricated on the two sides of the solar glass. They produced an increment in the efficiency of the packaged solar cell with respect to the glass without nanostructures. On the one hand, the wettability properties showed that the ordering of the nanostructures improved the hydrophobicity of the solar glasses and increased their self-cleaning capacity. On the other hand, the disordered nanostructures improved the hydrophilic properties of solar glasses, increasing their anti-fogging capacity. The results show that by selecting the appropriate nanopattern, the wettability properties (hydrophobic or hydrophilic) can be easily improved without decreasing the efficiency of the solar cell underneath.
Collapse
Affiliation(s)
- Estela Baquedano
- Instituto de Micro y Nanotecnología, CSIC, 28760 Tres Cantos, Madrid, Spain.
| | - Lorena Torné
- Instituto de Micro y Nanotecnología, CSIC, 28760 Tres Cantos, Madrid, Spain.
| | - Pablo Caño
- IES-Instituto de Energía Solar, Universidad Politécnica de Madrid, 28040 Madrid, Spain.
| | - Pablo A Postigo
- Instituto de Micro y Nanotecnología, CSIC, 28760 Tres Cantos, Madrid, Spain.
| |
Collapse
|
46
|
Ahles CF, Choi JY, Wolf S, Kummel AC. Selective Etching of Silicon in Preference to Germanium and Si 0.5Ge 0.5. ACS Appl Mater Interfaces 2017; 9:20947-20954. [PMID: 28537704 DOI: 10.1021/acsami.7b02060] [Citation(s) in RCA: 4] [Impact Index Per Article: 0.6] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/07/2023]
Abstract
The selective etching characteristics of silicon, germanium, and Si0.5Ge0.5 subjected to a downstream H2/CF4/Ar plasma have been studied using a pair of in situ quartz crystal microbalances (QCMs) and X-ray photoelectron spectroscopy (XPS). At 50 °C and 760 mTorr, Si can be etched in preference to Ge and Si0.5Ge0.5, with an essentially infinite Si/Ge etch-rate ratio (ERR), whereas for Si/Si0.5Ge0.5, the ERR is infinite at 22 °C and 760 mTorr. XPS data showed that the selectivity is due to the differential suppression of etching by a ∼2 ML thick CxHyFz layer formed by the H2/CF4/Ar plasma on Si, Ge, and Si0.5Ge0.5. The data are consistent with the less exothermic reaction of fluorine radicals with Ge or Si0.5Ge0.5 being strongly suppressed by the CxHyFz layer, whereas, on Si, the CxHyFz layer is not sufficient to completely suppress etching. Replacing H2 with D2 in the feed gas resulted in an inverse kinetic isotope effect (IKIE) where the Si and Si0.5Ge0.5 etch rates were increased by ∼30 times with retention of significant etch selectivity. The use of D2/CF4/Ar instead of H2/CF4/Ar resulted in less total carbon deposition on Si and Si0.5Ge0.5 and gave less Ge enrichment of Si0.5Ge0.5. These results are consistent with the selectivity being due to the differential suppression of etching by an angstrom-scale carbon layer.
Collapse
Affiliation(s)
- Christopher F Ahles
- Materials Science and Engineering Program and ‡Department of Chemistry and Biochemistry, University of California-San Diego , La Jolla, California 92093, United States
| | - Jong Youn Choi
- Materials Science and Engineering Program and ‡Department of Chemistry and Biochemistry, University of California-San Diego , La Jolla, California 92093, United States
| | - Steven Wolf
- Materials Science and Engineering Program and ‡Department of Chemistry and Biochemistry, University of California-San Diego , La Jolla, California 92093, United States
| | - Andrew C Kummel
- Materials Science and Engineering Program and ‡Department of Chemistry and Biochemistry, University of California-San Diego , La Jolla, California 92093, United States
| |
Collapse
|
47
|
Vilaró I, Yagüe JL, Borrós S. Superhydrophobic Copper Surfaces with Anticorrosion Properties Fabricated by Solventless CVD Methods. ACS Appl Mater Interfaces 2017; 9:1057-1065. [PMID: 27977129 DOI: 10.1021/acsami.6b12119] [Citation(s) in RCA: 52] [Impact Index Per Article: 7.4] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 05/25/2023]
Abstract
Due to continuous miniaturization and increasing number of electrical components in electronics, copper interconnections have become critical for the design of 3D integrated circuits. However, corrosion attack on the copper metal can affect the electronic performance of the material. Superhydrophobic coatings are a commonly used strategy to prevent this undesired effect. In this work, a solventless two-steps process was developed to fabricate superhydrophobic copper surfaces using chemical vapor deposition (CVD) methods. The superhydrophobic state was achieved through the design of a hierarchical structure, combining micro-/nanoscale domains. In the first step, O2- and Ar-plasma etchings were performed on the copper substrate to generate microroughness. Afterward, a conformal copolymer, 1H,1H,2H,2H-perfluorodecyl acrylate-ethylene glycol diacrylate [p(PFDA-co-EGDA)], was deposited on top of the metal via initiated CVD (iCVD) to lower the surface energy of the surface. The copolymer topography exhibited a very characteristic and unique nanoworm-like structure. The combination of the nanofeatures of the polymer with the microroughness of the copper led to achievement of the superhydrophobic state. AFM, SEM, and XPS were used to characterize the evolution in topography and chemical composition during the CVD processes. The modified copper showed water contact angles as high as 163° and hysteresis as low as 1°. The coating withstood exposure to aggressive media for extended periods of time. Tafel analysis was used to compare the corrosion rates between bare and modified copper. Results indicated that iCVD-coated copper corrodes 3 orders of magnitude slower than untreated copper. The surface modification process yielded repeatable and robust superhydrophobic coatings with remarkable anticorrosion properties.
Collapse
Affiliation(s)
- Ignasi Vilaró
- Grup d'Enginyeria de Materials (GEMAT), IQS-School of Engineering, Ramon Llull University , Via Augusta 390, 08017 Barcelona, Spain
| | - Jose L Yagüe
- Grup d'Enginyeria de Materials (GEMAT), IQS-School of Engineering, Ramon Llull University , Via Augusta 390, 08017 Barcelona, Spain
| | - Salvador Borrós
- Grup d'Enginyeria de Materials (GEMAT), IQS-School of Engineering, Ramon Llull University , Via Augusta 390, 08017 Barcelona, Spain
- Centro de Investigación Biomédica en Red en Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) , 50018 Zaragoza, Spain
| |
Collapse
|
48
|
Puliyalil H, Cvelbar U. Selective Plasma Etching of Polymeric Substrates for Advanced Applications. Nanomaterials (Basel) 2016; 6:E108. [PMID: 28335238 DOI: 10.3390/nano6060108] [Citation(s) in RCA: 77] [Impact Index Per Article: 9.6] [Reference Citation Analysis] [What about the content of this article? (0)] [Abstract] [Key Words] [Track Full Text] [Download PDF] [Figures] [Subscribe] [Scholar Register] [Received: 02/02/2016] [Revised: 05/28/2016] [Accepted: 05/30/2016] [Indexed: 12/26/2022]
Abstract
In today’s nanoworld, there is a strong need to manipulate and process materials on an atom-by-atom scale with new tools such as reactive plasma, which in some states enables high selectivity of interaction between plasma species and materials. These interactions first involve preferential interactions with precise bonds in materials and later cause etching. This typically occurs based on material stability, which leads to preferential etching of one material over other. This process is especially interesting for polymeric substrates with increasing complexity and a “zoo” of bonds, which are used in numerous applications. In this comprehensive summary, we encompass the complete selective etching of polymers and polymer matrix micro-/nanocomposites with plasma and unravel the mechanisms behind the scenes, which ultimately leads to the enhancement of surface properties and device performance.
Collapse
|
49
|
Wiedwald U, Gräfe J, Lebecki KM, Skripnik M, Haering F, Schütz G, Ziemann P, Goering E, Nowak U. Magnetic switching of nanoscale antidot lattices. Beilstein J Nanotechnol 2016; 7:733-50. [PMID: 27335762 PMCID: PMC4901900 DOI: 10.3762/bjnano.7.65] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.1] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Figures] [Subscribe] [Scholar Register] [Received: 11/30/2015] [Accepted: 04/30/2016] [Indexed: 06/06/2023]
Abstract
We investigate the rich magnetic switching properties of nanoscale antidot lattices in the 200 nm regime. In-plane magnetized Fe, Co, and Permalloy (Py) as well as out-of-plane magnetized GdFe antidot films are prepared by a modified nanosphere lithography allowing for non-close packed voids in a magnetic film. We present a magnetometry protocol based on magneto-optical Kerr microscopy elucidating the switching modes using first-order reversal curves. The combination of various magnetometry and magnetic microscopy techniques as well as micromagnetic simulations delivers a thorough understanding of the switching modes. While part of the investigations has been published before, we summarize these results and add significant new insights in the magnetism of exchange-coupled antidot lattices.
Collapse
Affiliation(s)
- Ulf Wiedwald
- Institute of Solid State Physics, Ulm University, Albert-Einstein-Allee 11, 89069 Ulm, Germany
- Faculty of Physics and Center for Nanointegration Duisburg-Essen (CENIDE), University of Duisburg-Essen, Lotharstr. 1, 47057 Duisburg, Germany
| | - Joachim Gräfe
- Max-Planck-Institute for Intelligent Systems, Heisenbergstr. 3, 70569 Stuttgart, Germany
| | - Kristof M Lebecki
- Department of Physics, University of Konstanz, 78457 Konstanz, Germany
- IT4Innovations Centre, VSB Technical University of Ostrava, Czech Republic
| | - Maxim Skripnik
- Department of Physics, University of Konstanz, 78457 Konstanz, Germany
| | - Felix Haering
- Institute of Solid State Physics, Ulm University, Albert-Einstein-Allee 11, 89069 Ulm, Germany
| | - Gisela Schütz
- Max-Planck-Institute for Intelligent Systems, Heisenbergstr. 3, 70569 Stuttgart, Germany
| | - Paul Ziemann
- Institute of Solid State Physics, Ulm University, Albert-Einstein-Allee 11, 89069 Ulm, Germany
| | - Eberhard Goering
- Max-Planck-Institute for Intelligent Systems, Heisenbergstr. 3, 70569 Stuttgart, Germany
| | - Ulrich Nowak
- Department of Physics, University of Konstanz, 78457 Konstanz, Germany
| |
Collapse
|
50
|
Garnier J, Arias-Zapata J, Marconot O, Arnaud S, Böhme S, Girardot C, Buttard D, Zelsmann M. Sub-10 nm Silicon Nanopillar Fabrication Using Fast and Brushless Thermal Assembly of PS-b-PDMS Diblock Copolymer. ACS Appl Mater Interfaces 2016; 8:9954-9960. [PMID: 27020847 DOI: 10.1021/acsami.6b01255] [Citation(s) in RCA: 11] [Impact Index Per Article: 1.4] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/05/2023]
Abstract
A new approach to obtaining spherical nanodomains using polystyrene-block-polydimethylsiloxane (PS-b-PDMS) is proposed. To reduce drastically the process time, we blended a copolymer with cylindrical morphology with a PS homopolymer. Adding PS homopolymer into a low-molar-mass cylindrical morphology PS-b-PDMS system drives it toward a spherical morphology. Besides, by controlling the as-spun state, spherical PDMS nanodomains could be kept and thermally arranged. This PS-homopolymer addition allows not only an efficient, purely thermal arrangement process of spheres but also the ability to work directly on nontreated silicon substrates. Indeed, as shown by STEM measurements, no PS brush surface treatment was necessary in our study to avoid a PDMS wetting layer at the interface with the Si substrate. Our approach was compared to a sphere-forming diblock copolymer, which needs a longer thermal annealing. Furthermore, GISAXS measurements provided complete information on PDMS sphere features. Excellent long-range order spherical microdomains were therefore produced on flat surfaces and inside graphoepitaxy trenches with a period of 21 nm, as were in-plane spheres with a diameter of 8 nm with a 15 min thermal annealing. Finally, direct plasma-etching transfer into the silicon substrate was demonstrated, and 20 nm high silicon nanopillars were obtained, which are very promising results for various nanopatterning applications.
Collapse
Affiliation(s)
- Jérôme Garnier
- Université Grenoble Alpes , F-38000 Grenoble, France
- CNRS, LTM , F-38000 Grenoble, France
- CEA, LETI , MINATEC Campus, F-38054 Grenoble, France
| | - Javier Arias-Zapata
- Université Grenoble Alpes , F-38000 Grenoble, France
- CNRS, LTM , F-38000 Grenoble, France
- CEA, LETI , MINATEC Campus, F-38054 Grenoble, France
| | - Olivier Marconot
- Université Grenoble Alpes , F-38000 Grenoble, France
- CEA, INAC-SP2M , F-38000 Grenoble, France
| | - Sandrine Arnaud
- Université Grenoble Alpes , F-38000 Grenoble, France
- CNRS, LTM , F-38000 Grenoble, France
- CEA, LETI , MINATEC Campus, F-38054 Grenoble, France
| | - Sophie Böhme
- Université Grenoble Alpes , F-38000 Grenoble, France
- CNRS, LTM , F-38000 Grenoble, France
- CEA, LETI , MINATEC Campus, F-38054 Grenoble, France
| | - Cécile Girardot
- Université Grenoble Alpes , F-38000 Grenoble, France
- CNRS, LTM , F-38000 Grenoble, France
- CEA, LETI , MINATEC Campus, F-38054 Grenoble, France
| | - Denis Buttard
- Université Grenoble Alpes , F-38000 Grenoble, France
- CEA, INAC-SP2M , F-38000 Grenoble, France
- IUT-1 , 17 quai C. Bernard, F-38000 Grenoble, France
| | - Marc Zelsmann
- Université Grenoble Alpes , F-38000 Grenoble, France
- CNRS, LTM , F-38000 Grenoble, France
- CEA, LETI , MINATEC Campus, F-38054 Grenoble, France
| |
Collapse
|