1
|
Wang K, Paxson A, Valdez TI, Erlat A, Lee PC, Yun S, Khajanji P, Zhang Z, Kummel AC, Bandaru P. Enhanced Corrosion Resistance in Aluminum-Based Electrolyzer Components via Stoichiometry Tuned Atomic Layer-Deposited TiO x Films. ACS APPLIED MATERIALS & INTERFACES 2024. [PMID: 38941589 DOI: 10.1021/acsami.4c05450] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/30/2024]
Abstract
Titanium (Ti) is widely used as anode current collectors in proton exchange membrane (PEM)-based water electrolyzers due to its self-passivated oxide layer, which protects it from corrosion in acidic solutions. However, the cost of the material and machining process for Ti is high. A wider utilization of water electrolyzers to produce hydrogen could be favored by the use of less expensive coated aluminum (Al) substrates, which could potentially replace high-cost Ti-based components. It is shown here by depositing a pinhole-free oxygen vacancy-rich titanium oxide (TiOx) protection layer by atomic layer deposition (ALD), the corrosion resistance of Al substrates in acidic environments at oxygen evolution potentials can be enhanced. The optimization of the oxygen vacancy concentration is accomplished by tuning the ALD parameters to achieve ideal stoichiometry and conformal coating on rough substrates. The robustness of the coatings was evaluated at high potentials (2.4 V vs NHE = normal hydrogen electrode) in low pH conditions. A low TiOx dissolution rate of the order of ∼6 nm year-1 was observed. By testing under industrially relevant conditions, i.e., high applied voltages (2.4 V) and low pH, an Al loss at around the zero ppb level was achieved using optimized ALD parameters. It is proposed that a 40 nm TiOx coating on Al may be adequate to provide 60,000 h of durability in a PEM water electrolyzer anode current collector.
Collapse
Affiliation(s)
- Kesong Wang
- Department of Mechanical and Aerospace Engineering, University of California San Diego, La Jolla, San Diego, California 92093, United States
| | - Adam Paxson
- Plug Power, Latham, New York 12110, United States
| | | | - Ahmet Erlat
- Plug Power, Latham, New York 12110, United States
| | - Ping-Che Lee
- Materials Science and Engineering Program, University of California San Diego, La Jolla, San Diego, California 92093, United States
| | - Seonguk Yun
- Materials Science and Engineering Program, University of California San Diego, La Jolla, San Diego, California 92093, United States
| | - Pranjali Khajanji
- Materials Science and Engineering Program, University of California San Diego, La Jolla, San Diego, California 92093, United States
| | - Zichen Zhang
- Materials Science and Engineering Program, University of California San Diego, La Jolla, San Diego, California 92093, United States
| | - Andrew C Kummel
- Materials Science and Engineering Program, University of California San Diego, La Jolla, San Diego, California 92093, United States
- Department of Chemistry and Biochemistry, University of California San Diego, La Jolla, California 92093, United States
| | - Prabhakar Bandaru
- Department of Mechanical and Aerospace Engineering, University of California San Diego, La Jolla, San Diego, California 92093, United States
- Materials Science and Engineering Program, University of California San Diego, La Jolla, San Diego, California 92093, United States
| |
Collapse
|
2
|
Crêpellière J, El Hachemi M, Menguelti K, Adjeroud N, Gerard M, Bouton O, Lunca Popa P, Michel M, Leturcq R. Haze factor of silver nanowires in variable refractive index environment: experimental and simulation approaches. NANOTECHNOLOGY 2024; 35:375703. [PMID: 38897181 DOI: 10.1088/1361-6528/ad59ae] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 04/03/2024] [Accepted: 06/19/2024] [Indexed: 06/21/2024]
Abstract
While silver nanowires (Ag NWs) have been demonstrated as a highly efficient transparent conducting material, they suffer from strong light scattering, which is quantified by a large haze factor (HF) in the optical spectrum. Here we investigate the influence of the dielectric environment on the light scattering of Ag NWs by comparing experimental measurements and simulations. In air, two peaks on the HF spectra are observed experimentally at the wavelength ofλI= 350 nm andλII= 380 nm and are attributed by simulations to the influence of the Ag NWs pentagonal shape on the localized surface plasmon resonance. The relative intensity between the two peaks is found to be dependent on whether the Ag NWs are in contact with the glass substrate or not. The HF behaviour in the near IR region seems to be dominated by Rayleigh scattering following simulations results. Dielectric environments of Ag NWs with various refractive indexes were obtained experimentally by the conformal deposition of different metal oxide coatings using atomic layer deposition, including Al-doped zinc oxide, Al2O3and SiO2coatings. The HF is found to be correlated with the refractive index environment in terms of HF peaks position, intensity and broadening. This trend of HF peaks is supported by a theoretical model to understand the optical mechanism behind this phenomenon.
Collapse
Affiliation(s)
- J Crêpellière
- Materials Research and Technology (MRT) Department, Luxembourg Institute of Science and Technology (LIST), Belvaux, Luxembourg
| | - M El Hachemi
- Materials Research and Technology (MRT) Department, Luxembourg Institute of Science and Technology (LIST), Belvaux, Luxembourg
| | - K Menguelti
- Materials Research and Technology (MRT) Department, Luxembourg Institute of Science and Technology (LIST), Belvaux, Luxembourg
| | - N Adjeroud
- Materials Research and Technology (MRT) Department, Luxembourg Institute of Science and Technology (LIST), Belvaux, Luxembourg
| | - M Gerard
- Materials Research and Technology (MRT) Department, Luxembourg Institute of Science and Technology (LIST), Belvaux, Luxembourg
| | - O Bouton
- Materials Research and Technology (MRT) Department, Luxembourg Institute of Science and Technology (LIST), Belvaux, Luxembourg
| | - P Lunca Popa
- Materials Research and Technology (MRT) Department, Luxembourg Institute of Science and Technology (LIST), Belvaux, Luxembourg
| | - M Michel
- Materials Research and Technology (MRT) Department, Luxembourg Institute of Science and Technology (LIST), Belvaux, Luxembourg
| | - R Leturcq
- Materials Research and Technology (MRT) Department, Luxembourg Institute of Science and Technology (LIST), Belvaux, Luxembourg
| |
Collapse
|
3
|
Zhang L, Gregory SA, Malinowski KL, Atassi A, Freychet G, Losego MD. Vapor Phase Infiltration of Titanium Oxide into P3HT to Create Organic-Inorganic Hybrid Photocatalysts. ACS APPLIED MATERIALS & INTERFACES 2024. [PMID: 38904295 DOI: 10.1021/acsami.3c16469] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/22/2024]
Abstract
Herein, we report for the first time the use of vapor phase infiltration (VPI) to infuse conducting polymers with inorganic metal oxide clusters that together form a photocatalytic material. While vapor infiltration has previously been used to electrically dope conjugated polymers, this is the first time, to our knowledge, that the resultant hybrid material has been demonstrated to have photocatalytic properties. The system studied is poly(3-hexylthiophene-2,5-diyl) (P3HT) vapor infiltrated with TiCl4 and H2O to create P3HT-TiOx organic-inorganic hybrid photocatalytic materials. X-ray photoelectron spectroscopy analysis shows that P3HT-TiOx VPI films consist of a partially oxidized P3HT matrix, and the infiltrated titanium inorganic is in a 4+ oxidation state with mostly oxide coordination. Upon visible light illumination, these P3HT-TiOx hybrids degrade methylene blue dye molecules. The P3HT-TiOx hybrids are 4.6× more photocatalytically active than either the P3HT or TiO2 individually or when sequentially deposited (e.g., P3HT on TiO2). On a per surface area basis, these hybrid photocatalysts are comparable or better than other best in class polymer semiconductor photocatalysts. VPI of TiCl4 + H2O into P3HT makes a unique hybrid structure and idealized photocatalyst architecture by creating nanoscale TiOx clusters concentrated toward the surface achieving extremely high catalytic rates. The mechanism for this enhanced photocatalytic rate is understood using photoluminescence spectroscopy, which shows significant quenching of excitons in P3HT-TiOx as compared to neat P3HT, indicating that P3HT acts as a photosensitizer for the TiOx catalyst sites in the hybrid material. This work introduces a new approach to designing and synthesizing organic-inorganic hybrid photocatalytic materials, with expansive opportunities for further exploration and optimization.
Collapse
Affiliation(s)
- Li Zhang
- School of Materials Science and Engineering, Georgia Institute of Technology, 771 Ferst Drive NW, Atlanta, Georgia 30332, United States
- Renewable Bioproducts Institute, Georgia Institute of Technology, 500 10th Street NW, Atlanta, Georgia 30332, United States
| | - Shawn A Gregory
- School of Materials Science and Engineering, Georgia Institute of Technology, 771 Ferst Drive NW, Atlanta, Georgia 30332, United States
| | - Kristina L Malinowski
- School of Materials Science and Engineering, Georgia Institute of Technology, 771 Ferst Drive NW, Atlanta, Georgia 30332, United States
| | - Amalie Atassi
- School of Materials Science and Engineering, Georgia Institute of Technology, 771 Ferst Drive NW, Atlanta, Georgia 30332, United States
| | - Guillaume Freychet
- NSLS-II, Brookhaven National Laboratory, Upton, New York 11973, United States
| | - Mark D Losego
- School of Materials Science and Engineering, Georgia Institute of Technology, 771 Ferst Drive NW, Atlanta, Georgia 30332, United States
- Renewable Bioproducts Institute, Georgia Institute of Technology, 500 10th Street NW, Atlanta, Georgia 30332, United States
| |
Collapse
|
4
|
Lin Z, Song C, Liu T, Shao J, Zhu M. Comparative Study of Plasma-Enhanced-Atomic-Layer-Deposited Al 2O 3/HfO 2/SiO 2 and HfO 2/Al 2O 3/SiO 2 Trilayers for Ultraviolet Laser Applications. ACS APPLIED MATERIALS & INTERFACES 2024; 16:31756-31767. [PMID: 38837185 DOI: 10.1021/acsami.4c03747] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/06/2024]
Abstract
High-performance thin films combining large optical bandgap Al2O3 and high refractive index HfO2 are excellent components for constructing the next generation of laser systems with enhanced output power. However, the growth of low-defect plasma-enhanced-atomic-layer-deposited (PEALD) Al2O3 for high-power laser applications and its combination with HfO2 and SiO2 materials commonly used in high-power laser thin films still face challenges, such as how to minimize defects, especially interface defects. In this work, substrate-layer interface defects in Al2O3 single-layer thin films, layer-layer interface defects in Al2O3-based bilayer and trilayer thin films, and their effects on the laser-induced damage threshold (LIDT) were investigated via capacitance-voltage (C-V) measurements. The experimental results show that by optimizing the deposition parameters, specifically the deposition temperature, precursor exposure time, and plasma oxygen exposure time, Al2O3 thin films with low defect density and high LIDT can be obtained. Two trilayer anti-reflection (AR) thin film structures, Al2O3/HfO2/SiO2 and HfO2/Al2O3/SiO2, were then prepared and compared. The trilayer AR thin film with Al2O3/HfO2/SiO2 structure exhibits a lower interface defect density, better interface bonding performance, and an increase in LIDT by approximately 2.8 times. We believe these results provide guidance for the control of interface defects and the design of thin film structures and will benefit many thin film optics for laser applications.
Collapse
Affiliation(s)
- Zesheng Lin
- Laboratory of Thin Film Optics, Key Laboratory of Materials for High Power Laser, Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, Shanghai 201800, China
- Center of Materials Science and Optoelectronics Engineering, University of Chinese Academy of Sciences, Beijing 100049, China
| | - Chen Song
- Laboratory of Thin Film Optics, Key Laboratory of Materials for High Power Laser, Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, Shanghai 201800, China
| | - Tianbao Liu
- Laboratory of Thin Film Optics, Key Laboratory of Materials for High Power Laser, Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, Shanghai 201800, China
- Center of Materials Science and Optoelectronics Engineering, University of Chinese Academy of Sciences, Beijing 100049, China
| | - Jianda Shao
- Laboratory of Thin Film Optics, Key Laboratory of Materials for High Power Laser, Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, Shanghai 201800, China
- Center of Materials Science and Optoelectronics Engineering, University of Chinese Academy of Sciences, Beijing 100049, China
- Hangzhou Institute for Advanced Study, University of Chinese Academy of Sciences, Hangzhou 310024, China
- CAS Center for Excellence in Ultra-intense Laser Science, Shanghai 201800, China
| | - Meiping Zhu
- Laboratory of Thin Film Optics, Key Laboratory of Materials for High Power Laser, Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, Shanghai 201800, China
- Center of Materials Science and Optoelectronics Engineering, University of Chinese Academy of Sciences, Beijing 100049, China
- Hangzhou Institute for Advanced Study, University of Chinese Academy of Sciences, Hangzhou 310024, China
| |
Collapse
|
5
|
Song SH, Lee JS, Suh DY, Choi BH. Tailoring Mechanical Reliability in Transparent ZnO-Zincone Thin-Film Electrodes with Organic Interlayer Interfaces and Thickness. ACS APPLIED MATERIALS & INTERFACES 2024; 16:31543-31554. [PMID: 38843450 DOI: 10.1021/acsami.4c04255] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/22/2024]
Abstract
To address the inherent brittleness of conventional transparent conductive oxides, researchers have focused on enhancing their flexibility. This is achieved by incorporating organic films to construct organic-inorganic hybrid layer-by-layer nanostructures, where the interlayer thickness and interface play pivotal roles in determining the properties. These factors are contingent on the type of material, processing conditions, and specific application requirements, making it essential to select the appropriate conditions. In this study, ZnO-zincone nanolaminate thin films were fabricated using atomic layer deposition and molecular layer deposition in various structural configurations. Transmission electron microscopy, X-ray diffraction, and scanning electron microscopy were used to conduct a thorough analysis of the thin-film growth and structural transformations resulting from the deposition conditions. Furthermore, the influence of structural differences at the interfaces on the mechanical properties of the films was investigated by employing both tensile and compression-bending fatigue tests. This comprehensive examination reveals noteworthy variations in the mechanical responses of the films. Thin films characterized by internal porosity and an intermixed amorphous structure demonstrated enhanced compressive toughness, whereas rigid organic layers improved flexibility. These findings offer valuable insights into the development of flexible, transparent multilayer films.
Collapse
Affiliation(s)
- Seung Hak Song
- School of Mechanical Engineering, Korea University, Seoul 136707, Korea
| | - Jae Seok Lee
- School of Mechanical Engineering, Korea University, Seoul 136707, Korea
| | - Dong Young Suh
- School of Mechanical Engineering, Korea University, Seoul 136707, Korea
| | - Byoung-Ho Choi
- School of Mechanical Engineering, Korea University, Seoul 136707, Korea
| |
Collapse
|
6
|
Zaera F. The surface chemistry of the atomic layer deposition of metal thin films. NANOTECHNOLOGY 2024; 35:362001. [PMID: 38888294 DOI: 10.1088/1361-6528/ad54cb] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 03/22/2024] [Accepted: 06/06/2024] [Indexed: 06/20/2024]
Abstract
In this perspective we discuss the progress made in the mechanistic studies of the surface chemistry associated with the atomic layer deposition (ALD) of metal films and the usefulness of that knowledge for the optimization of existing film growth processes and for the design of new ones. Our focus is on the deposition of late transition metals. We start by introducing some of the main surface-sensitive techniques and approaches used in this research. We comment on the general nature of the metallorganic complexes used as precursors for these depositions, and the uniqueness that solid surfaces and the absence of liquid solvents bring to the ALD chemistry and differentiate it from what is known from metalorganic chemistry in solution. We then delve into the adsorption and thermal chemistry of those precursors, highlighting the complex and stepwise nature of the decomposition of the organic ligands that usually ensued upon their thermal activation. We discuss the criteria relevant for the selection of co-reactants to be used on the second half of the ALD cycle, with emphasis on the redox chemistry often associated with the growth of metallic films starting from complexes with metal cations. Additional considerations include the nature of the substrate and the final structural and chemical properties of the growing films, which we indicate rarely retain the homogeneous 2D structure often aimed for. We end with some general conclusions and personal thoughts about the future of this field.
Collapse
Affiliation(s)
- Francisco Zaera
- Department of Chemistry, University of California, Riverside, CA 92521, United States of America
| |
Collapse
|
7
|
Zheng J, Fang J, Xu D, Liu H, Wei X, Qin C, Xue J, Gao Z, Hu N. Micronano Synergetic Three-Dimensional Bioelectronics: A Revolutionary Breakthrough Platform for Cardiac Electrophysiology. ACS NANO 2024; 18:15332-15357. [PMID: 38837178 DOI: 10.1021/acsnano.4c00052] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [MESH Headings] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/06/2024]
Abstract
Cardiovascular diseases (CVDs) are the leading cause of mortality and therefore pose a significant threat to human health. Cardiac electrophysiology plays a crucial role in the investigation and treatment of CVDs, including arrhythmia. The long-term and accurate detection of electrophysiological activity in cardiomyocytes is essential for advancing cardiology and pharmacology. Regarding the electrophysiological study of cardiac cells, many micronano bioelectric devices and systems have been developed. Such bioelectronic devices possess unique geometric structures of electrodes that enhance quality of electrophysiological signal recording. Though planar multielectrode/multitransistors are widely used for simultaneous multichannel measurement of cell electrophysiological signals, their use for extracellular electrophysiological recording exhibits low signal strength and quality. However, the integration of three-dimensional (3D) multielectrode/multitransistor arrays that use advanced penetration strategies can achieve high-quality intracellular signal recording. This review provides an overview of the manufacturing, geometric structure, and penetration paradigms of 3D micronano devices, as well as their applications for precise drug screening and biomimetic disease modeling. Furthermore, this review also summarizes the current challenges and outlines future directions for the preparation and application of micronano bioelectronic devices, with an aim to promote the development of intracellular electrophysiological platforms and thereby meet the demands of emerging clinical applications.
Collapse
Affiliation(s)
- Jilin Zheng
- Department of Chemistry, Zhejiang-Israel Joint Laboratory of Self-Assembling Functional Materials, ZJU-Hangzhou Global Scientific and Technological Innovation Center, Zhejiang University, Hangzhou 310058, China
| | - Jiaru Fang
- School of Electronics and Information Technology, Sun Yat-sen University, Guangzhou 510006, China
| | - Dongxin Xu
- School of Electronics and Information Technology, Sun Yat-sen University, Guangzhou 510006, China
| | - Haitao Liu
- General Surgery Department, Children's Hospital, Zhejiang University School of Medicine, National Clinical Research Center for Children's Health, Hangzhou 310052, China
| | - Xinwei Wei
- Key Laboratory of Advanced Drug Delivery Systems of Zhejiang Province, College of Pharmaceutical Sciences, Zhejiang University, Hangzhou 310058, China
| | - Chunlian Qin
- Department of Chemistry, Zhejiang-Israel Joint Laboratory of Self-Assembling Functional Materials, ZJU-Hangzhou Global Scientific and Technological Innovation Center, Zhejiang University, Hangzhou 310058, China
- General Surgery Department, Children's Hospital, Zhejiang University School of Medicine, National Clinical Research Center for Children's Health, Hangzhou 310052, China
| | - Jiajin Xue
- General Surgery Department, Children's Hospital, Zhejiang University School of Medicine, National Clinical Research Center for Children's Health, Hangzhou 310052, China
| | - Zhigang Gao
- General Surgery Department, Children's Hospital, Zhejiang University School of Medicine, National Clinical Research Center for Children's Health, Hangzhou 310052, China
| | - Ning Hu
- Department of Chemistry, Zhejiang-Israel Joint Laboratory of Self-Assembling Functional Materials, ZJU-Hangzhou Global Scientific and Technological Innovation Center, Zhejiang University, Hangzhou 310058, China
- General Surgery Department, Children's Hospital, Zhejiang University School of Medicine, National Clinical Research Center for Children's Health, Hangzhou 310052, China
| |
Collapse
|
8
|
Mohapatra D, Kang HJ, Lee S, Son Y, Ansari MZ, Kang Y, Lee JW, Kim SH. Ultrahigh Sensitivity for Thermographic Human-Machine Interface via Precious Metals Atomic Layer Deposition on V-MXene: Computational and Experimental Exploration. SMALL (WEINHEIM AN DER BERGSTRASSE, GERMANY) 2024:e2402003. [PMID: 38884191 DOI: 10.1002/smll.202402003] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 03/14/2024] [Revised: 04/04/2024] [Indexed: 06/18/2024]
Abstract
Global healthcare based on the Internet of Things system is rapidly transforming to measure precise physiological body parameters without visiting hospitals at remote patients and associated symptoms monitoring. 2D materials and the prevailing mood of current ever-expanding MXene-based sensing devices motivate to introduce first the novel iridium (Ir) precious metal incorporated vanadium (V)-MXene via industrially favored emerging atomic layer deposition (ALD) techniques. The current work contributes a precise control and delicate balance of Ir single atomic forms or clusters on the V-MXene to constitute a unique precious metal-MXene embedded heterostructure (Ir-ALD@V-MXene) in practical real-time sensing healthcare applications to thermography with human-machine interface for the first time. Ir-ALD@V-MXene delivers an ultrahigh durability and sensing performance of 2.4% °C-1 than pristine V-MXene (0.42% °C-1), outperforming several conventionally used MXenes, graphene, underscoring the importance of the Ir-ALD innovative process. Aberration-corrected advanced ultra-high-resolution transmission/scanning transmission electron microscopy confirms the presence of Ir atomic clusters on well-aligned 2D-layered V-MXene structure and their advanced heterostructure formation (Ir-ALD@V-MXene), enhanced sensing mechanism is investigated using density functional theory (DFT) computations. A rational design empowering the Ir-ALD process on least explored V-MXene can potentially unfold further precious metals ALD-process developments for next-generation wearable personal healthcare devices.
Collapse
Affiliation(s)
- Debananda Mohapatra
- Graduate School of Semiconductor Materials and Devices Engineering, Ulsan National Institute of Science and Technology (UNIST), Ulju-gun, Ulsan, 44919, Republic of Korea
| | - Hyun Jin Kang
- Department of Materials Science and Engineering, Pusan National University, Geumjeong-gu, Busan, 46241, Republic of Korea
| | - Sanghyuk Lee
- Department of Materials Science and Engineering, Incheon National University, Incheon, 22012, South Korea
| | - Yeseul Son
- Graduate School of Semiconductor Materials and Devices Engineering, Ulsan National Institute of Science and Technology (UNIST), Ulju-gun, Ulsan, 44919, Republic of Korea
| | - Mohd Zahid Ansari
- Chemical Engineering Program, Texas A&M University at Qatar, Doha, 23874, Qatar
| | - Youngho Kang
- Department of Materials Science and Engineering, Incheon National University, Incheon, 22012, South Korea
| | - Jung Woo Lee
- Department of Materials Science and Engineering, Pusan National University, Geumjeong-gu, Busan, 46241, Republic of Korea
| | - Soo-Hyun Kim
- Graduate School of Semiconductor Materials and Devices Engineering, Ulsan National Institute of Science and Technology (UNIST), Ulju-gun, Ulsan, 44919, Republic of Korea
- Department of Materials Science and Engineering, Ulsan National Institute of Science and Technology (UNIST), Ulju-gun, Ulsan, 44919, Republic of Korea
| |
Collapse
|
9
|
Akhtar R, Gaurav K, Khan S. Applications of low-valent compounds with heavy group-14 elements. Chem Soc Rev 2024; 53:6150-6243. [PMID: 38757535 DOI: 10.1039/d4cs00101j] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 05/18/2024]
Abstract
Over the last two decades, the low-valent compounds of group-14 elements have received significant attention in several fields of chemistry owing to their unique electronic properties. The low-valent group-14 species include tetrylenes, tetryliumylidene, tetrylones, dimetallenes and dimetallynes. These low-valent group-14 species have shown applications in various areas such as organic transformations (hydroboration, cyanosilylation, N-functionalisation of amines, and hydroamination), small molecule activation (e.g. P4, As4, CO2, CO, H2, alkene, and alkyne) and materials. This review presents an in-depth discussion on low-valent group-14 species-catalyzed reactions, including polymerization of rac-lactide, L-lactide, DL-lactide, and caprolactone, followed by their photophysical properties (phosphorescence and fluorescence), thin film deposition (atomic layer deposition and vapor phase deposition), and medicinal applications. This review concisely summarizes current developments of low-valent heavier group-14 compounds, covering synthetic methodologies, structural aspects, and their applications in various fields of chemistry. Finally, their opportunities and challenges are examined and emphasized.
Collapse
Affiliation(s)
- Ruksana Akhtar
- Department of Chemistry, Indian Institute of Science Education and Research Pune, Dr Homi Bhabha Road, Pashan, Pune-411008, India.
| | - Kumar Gaurav
- Department of Chemistry, Indian Institute of Science Education and Research Pune, Dr Homi Bhabha Road, Pashan, Pune-411008, India.
| | - Shabana Khan
- Department of Chemistry, Indian Institute of Science Education and Research Pune, Dr Homi Bhabha Road, Pashan, Pune-411008, India.
| |
Collapse
|
10
|
Sahayaraj S, Knura R, Skibińska K, Starowicz Z, Bulowski W, Gawlińska-Nęcek K, Panek P, Wojnicki M, Iwanek S, Majchrowicz Ł, Socha RP. Tuning the Optical and Electrical Properties of ALD-Grown ZnO Films by Germanium Doping. MATERIALS (BASEL, SWITZERLAND) 2024; 17:2906. [PMID: 38930276 PMCID: PMC11205108 DOI: 10.3390/ma17122906] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 04/26/2024] [Revised: 05/19/2024] [Accepted: 05/29/2024] [Indexed: 06/28/2024]
Abstract
In this work, we report on the fabrication of ZnO thin films doped with Ge via the ALD method. With an optimized amount of Ge doping, there was an improvement in the conductivity of the films owing to an increase in the carrier concentration. The optical properties of the films doped with Ge show improved transmittance and reduced reflectance, making them more attractive for opto-electronic applications. The band gap of the films exhibits a blue shift with Ge doping due to the Burstein-Moss effect. The variations in the band gap and the work function of ZnO depend strongly on the carrier density of the films. From the surface studies carried out using XPS, we could confirm that Ge replaces some of the Zn in the wurtzite structure. In the films containing Ge, the concentration of oxygen vacancies is also high, which is somehow related to the poor electrical properties of the films at higher Ge concentrations.
Collapse
Affiliation(s)
- Sylvester Sahayaraj
- CBRTP SA Research and Development Center of Technology for Industry, Ludwika Waryńskiego 3A, 00-645 Warszawa, Poland; (S.S.); (R.K.); (K.S.); (W.B.); or (M.W.); (S.I.)
| | - Rafał Knura
- CBRTP SA Research and Development Center of Technology for Industry, Ludwika Waryńskiego 3A, 00-645 Warszawa, Poland; (S.S.); (R.K.); (K.S.); (W.B.); or (M.W.); (S.I.)
| | - Katarzyna Skibińska
- CBRTP SA Research and Development Center of Technology for Industry, Ludwika Waryńskiego 3A, 00-645 Warszawa, Poland; (S.S.); (R.K.); (K.S.); (W.B.); or (M.W.); (S.I.)
| | - Zbigniew Starowicz
- Institute of Metallurgy and Materials Science, Polish Academy of Sciences, 25 Reymonta, 30-059 Kraków, Poland; (Z.S.); (K.G.-N.); (P.P.)
| | - Wojciech Bulowski
- CBRTP SA Research and Development Center of Technology for Industry, Ludwika Waryńskiego 3A, 00-645 Warszawa, Poland; (S.S.); (R.K.); (K.S.); (W.B.); or (M.W.); (S.I.)
- Faculty of Non-Ferrous Metals, AGH University of Science and Technology, Al. Mickiewicza 30, 30-059 Kraków, Poland
| | - Katarzyna Gawlińska-Nęcek
- Institute of Metallurgy and Materials Science, Polish Academy of Sciences, 25 Reymonta, 30-059 Kraków, Poland; (Z.S.); (K.G.-N.); (P.P.)
| | - Piotr Panek
- Institute of Metallurgy and Materials Science, Polish Academy of Sciences, 25 Reymonta, 30-059 Kraków, Poland; (Z.S.); (K.G.-N.); (P.P.)
| | - Marek Wojnicki
- CBRTP SA Research and Development Center of Technology for Industry, Ludwika Waryńskiego 3A, 00-645 Warszawa, Poland; (S.S.); (R.K.); (K.S.); (W.B.); or (M.W.); (S.I.)
- Faculty of Non-Ferrous Metals, AGH University of Science and Technology, Al. Mickiewicza 30, 30-059 Kraków, Poland
| | - Sylwester Iwanek
- CBRTP SA Research and Development Center of Technology for Industry, Ludwika Waryńskiego 3A, 00-645 Warszawa, Poland; (S.S.); (R.K.); (K.S.); (W.B.); or (M.W.); (S.I.)
| | | | - Robert Piotr Socha
- CBRTP SA Research and Development Center of Technology for Industry, Ludwika Waryńskiego 3A, 00-645 Warszawa, Poland; (S.S.); (R.K.); (K.S.); (W.B.); or (M.W.); (S.I.)
- Jerzy Haber Institute of Catalysis and Surface Chemistry, Polish Academy of Sciences, Niezapominajek 8, 30-239 Kraków, Poland
| |
Collapse
|
11
|
Philip A, Jussila T, Obenlüneschloß J, Zanders D, Preischel F, Kinnunen J, Devi A, Karppinen M. Conformal Zn-Benzene Dithiol Thin Films for Temperature-Sensitive Electronics Grown via Industry-Feasible Atomic/Molecular Layer Deposition Technique. SMALL (WEINHEIM AN DER BERGSTRASSE, GERMANY) 2024:e2402608. [PMID: 38853133 DOI: 10.1002/smll.202402608] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 04/02/2024] [Revised: 05/17/2024] [Indexed: 06/11/2024]
Abstract
The atomic/molecular layer deposition (ALD/MLD) technique combining both inorganic and organic precursors is strongly emerging as a unique tool to design exciting new functional metal-organic thin-film materials. Here, this method is demonstrated to work even at low deposition temperatures and can produce highly stable and conformal thin films, fulfilling the indispensable prerequisites of today's 3D microelectronics and other potential industrial applications. This new ALD/MLD process is developed for Zn-organic thin films grown from non-pyrophoric bis-3-(N,N-dimethylamino)propyl zinc [Zn(DMP)2] and 1,4-benzene dithiol (BDT) precursors. This process yields air-stable Zn-BDT films with appreciably high growth per cycle (GPC) of 4.5 Å at 60 °C. The Zn/S ratio is determined at 0.5 with Rutherford backscattering spectrometry (RBS), in line with the anticipated (Zn─S─C6H6─S─)n bonding scheme. The high degree of conformality is shown using lateral high-aspect-ratio (LHAR) test substrates; scanning electron microscopy (SEM) analysis shows that the film penetration depth (PD) into the LHAR structure with cavity height of 500 nm is over 200 µm (i.e., aspect-ratio of 400). It is anticipated that the electrically insulating metal-organic Zn-BDT thin films grown via the solvent-free ALD/MLD technique, can be excellent barrier layers for temperature-sensitive and flexible electronic devices.
Collapse
Affiliation(s)
- Anish Philip
- Department of Chemistry and Materials Science, Aalto University, Espoo, FI-00076, Finland
- Chipmetrics Ltd, Joensuu, 80130, Finland
| | - Topias Jussila
- Department of Chemistry and Materials Science, Aalto University, Espoo, FI-00076, Finland
| | | | - David Zanders
- Inorganic Materials Chemistry, Ruhr University Bochum, 44801, Bochum, Germany
| | - Florian Preischel
- Inorganic Materials Chemistry, Ruhr University Bochum, 44801, Bochum, Germany
| | | | - Anjana Devi
- Inorganic Materials Chemistry, Ruhr University Bochum, 44801, Bochum, Germany
- Leibniz Institute for Solid State and Materials Research, 01069, Dresden, Germany
- Chair of Materials Chemistry, Dresden University of Technology, 01069, Dresden, Germany
| | - Maarit Karppinen
- Department of Chemistry and Materials Science, Aalto University, Espoo, FI-00076, Finland
| |
Collapse
|
12
|
Wei S, Xia X, Bi S, Hu S, Wu X, Hsu HY, Zou X, Huang K, Zhang DW, Sun Q, Bard AJ, Yu ET, Ji L. Metal-insulator-semiconductor photoelectrodes for enhanced photoelectrochemical water splitting. Chem Soc Rev 2024. [PMID: 38833171 DOI: 10.1039/d3cs00820g] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 06/06/2024]
Abstract
Photoelectrochemical (PEC) water splitting provides a scalable and integrated platform to harness renewable solar energy for green hydrogen production. The practical implementation of PEC systems hinges on addressing three critical challenges: enhancing energy conversion efficiency, ensuring long-term stability, and achieving economic viability. Metal-insulator-semiconductor (MIS) heterojunction photoelectrodes have gained significant attention over the last decade for their ability to efficiently segregate photogenerated carriers and mitigate corrosion-induced semiconductor degradation. This review discusses the structural composition and interfacial intricacies of MIS photoelectrodes tailored for PEC water splitting. The application of MIS heterostructures across various semiconductor light-absorbing layers, including traditional photovoltaic-grade semiconductors, metal oxides, and emerging materials, is presented first. Subsequently, this review elucidates the reaction mechanisms and respective merits of vacuum and non-vacuum deposition techniques in the fabrication of the insulator layers. In the context of the metal layers, this review extends beyond the conventional scope, not only by introducing metal-based cocatalysts, but also by exploring the latest advancements in molecular and single-atom catalysts integrated within MIS photoelectrodes. Furthermore, a systematic summary of carrier transfer mechanisms and interface design principles of MIS photoelectrodes is presented, which are pivotal for optimizing energy band alignment and enhancing solar-to-chemical conversion efficiency within the PEC system. Finally, this review explores innovative derivative configurations of MIS photoelectrodes, including back-illuminated MIS photoelectrodes, inverted MIS photoelectrodes, tandem MIS photoelectrodes, and monolithically integrated wireless MIS photoelectrodes. These novel architectures address the limitations of traditional MIS structures by effectively coupling different functional modules, minimizing optical and ohmic losses, and mitigating recombination losses.
Collapse
Affiliation(s)
- Shice Wei
- School of Microelectronics & Jiashan Fudan Institute, Fudan University, Shanghai 200433, China.
| | - Xuewen Xia
- School of Materials Science and Engineering, Shanghai University, Shanghai 200444, China.
| | - Shuai Bi
- Department of Chemistry, City University of Hong Kong, 83 Tat Chee Avenue, Kowloon, Hong Kong 999077, China
| | - Shen Hu
- School of Microelectronics & Jiashan Fudan Institute, Fudan University, Shanghai 200433, China.
| | - Xuefeng Wu
- School of Microelectronics & Jiashan Fudan Institute, Fudan University, Shanghai 200433, China.
| | - Hsien-Yi Hsu
- Department of Chemistry, City University of Hong Kong, 83 Tat Chee Avenue, Kowloon, Hong Kong 999077, China
| | - Xingli Zou
- School of Materials Science and Engineering, Shanghai University, Shanghai 200444, China.
| | - Kai Huang
- Department of Physics, Xiamen University, Xiamen 361005, China.
| | - David W Zhang
- School of Microelectronics & Jiashan Fudan Institute, Fudan University, Shanghai 200433, China.
| | - Qinqqing Sun
- School of Microelectronics & Jiashan Fudan Institute, Fudan University, Shanghai 200433, China.
| | - Allen J Bard
- Department of Chemistry, The University of Texas at Austin, Texas 78713, USA
| | - Edward T Yu
- Department of Electrical and Computer Engineering, The University of Texas at Austin, Texas 78758, USA.
| | - Li Ji
- School of Microelectronics & Jiashan Fudan Institute, Fudan University, Shanghai 200433, China.
| |
Collapse
|
13
|
Olowoyo JO, Gharahshiran VS, Zeng Y, Zhao Y, Zheng Y. Atomic/molecular layer deposition strategies for enhanced CO 2 capture, utilisation and storage materials. Chem Soc Rev 2024; 53:5428-5488. [PMID: 38682880 DOI: 10.1039/d3cs00759f] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 05/01/2024]
Abstract
Elevated levels of carbon dioxide (CO2) in the atmosphere and the diminishing reserves of fossil fuels have raised profound concerns regarding the resulting consequences of global climate change and the future supply of energy. Hence, the reduction and transformation of CO2 not only mitigates environmental pollution but also generates value-added chemicals, providing a dual remedy to address both energy and environmental challenges. Despite notable advancements, the low conversion efficiency of CO2 remains a major obstacle, largely attributed to its inert chemical nature. It is imperative to engineer catalysts/materials that exhibit high conversion efficiency, selectivity, and stability for CO2 transformation. With unparalleled precision at the atomic level, atomic layer deposition (ALD) and molecular layer deposition (MLD) methods utilize various strategies, including ultrathin modification, overcoating, interlayer coating, area-selective deposition, template-assisted deposition, and sacrificial-layer-assisted deposition, to synthesize numerous novel metal-based materials with diverse structures. These materials, functioning as active materials, passive materials or modifiers, have contributed to the enhancement of catalytic activity, selectivity, and stability, effectively addressing the challenges linked to CO2 transformation. Herein, this review focuses on ALD and MLD's role in fabricating materials for electro-, photo-, photoelectro-, and thermal catalytic CO2 reduction, CO2 capture and separation, and electrochemical CO2 sensing. Significant emphasis is dedicated to the ALD and MLD designed materials, their crucial role in enhancing performance, and exploring the relationship between their structures and catalytic activities for CO2 transformation. Finally, this comprehensive review presents the summary, challenges and prospects for ALD and MLD-designed materials for CO2 transformation.
Collapse
Affiliation(s)
- Joshua O Olowoyo
- Department of Chemical and Biochemical Engineering, Thompson Engineering Building, Western University, London, ON N6A 5B9, Canada.
| | - Vahid Shahed Gharahshiran
- Department of Chemical and Biochemical Engineering, Thompson Engineering Building, Western University, London, ON N6A 5B9, Canada.
| | - Yimin Zeng
- Natural Resources Canada - CanmetMaterials, Hamilton, Canada
| | - Yang Zhao
- Department of Mechanical and Materials Engineering, Western University, London, ON N6A 5B9, Canada.
| | - Ying Zheng
- Department of Chemical and Biochemical Engineering, Thompson Engineering Building, Western University, London, ON N6A 5B9, Canada.
| |
Collapse
|
14
|
Kim HJ, Lee SK, Kim HS, Kim WJ, Ryu JH, Ji MK, Lim HP. Atomic Layer Deposition of Zirconia on Titanium Implants Improves Osseointegration in Rabbit Bones. Int J Nanomedicine 2024; 19:5011-5020. [PMID: 38832337 PMCID: PMC11146613 DOI: 10.2147/ijn.s460392] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [MESH Headings] [Track Full Text] [Download PDF] [Figures] [Journal Information] [Subscribe] [Scholar Register] [Received: 01/19/2024] [Accepted: 05/22/2024] [Indexed: 06/05/2024] Open
Abstract
Purpose Atomic layer deposition (ALD) is a method that can deposit zirconia uniformly on an atomic basis. The effect of deposited zirconia on titanium implants using ALD was evaluated in vivo. Methods Machined titanium implants (MTIs) were used as the Control. MTIs treated by sandblasting with large grit and acid etching (SA) and MTIs deposited with zirconia using ALD are referred to as Groups S and Z, respectively. Twelve implants were prepared for each group. Six rabbits were used as experimental animals. To evaluate the osteogenesis and osteocyte aspects around the implants, radiological and histological analyses were performed. The bone-to-implant contact (BIC) ratio was measured and statistically analyzed to evaluate the osseointegration capabilities. Results In the micro-CT analysis, more radiopaque bone tissues were observed around the implants in Groups S and Z. Histological observation found that Groups S and Z had more and denser mature bone tissues around the implants in the cortical bone area. Many new and mature bone tissues were also observed in the medullary cavity area. For the BIC ratio, Groups S and Z were significantly higher than the Control in the cortical bone area (P < 0.017), but there was no significant difference between Groups S and Z. Conclusion MTIs deposited with zirconia using ALD (Group Z) radiologically and histologically showed more mature bone formation and activated osteocytes compared with MTIs (Control). Group Z also had a significantly higher BIC ratio than the Control. Within the limitations of this study, depositing zirconia on the surface of MTIs using ALD can improve osseointegration in vivo.
Collapse
Affiliation(s)
- Hong-Joo Kim
- Department of Prosthodontics, School of Dentistry, Chonnam National University, Gwangju, Republic of Korea
| | - Seon-Ki Lee
- Department of Prosthodontics, Daejeon Dental Hospital, Wonkwang University, Daejeon, Republic of Korea
| | | | - Won-Jae Kim
- Department of Oral Physiology, School of Dentistry, Stem cell Secretome Research Center, Chonnam National University, Gwangju, Republic of Korea
| | - Je-Hwang Ryu
- Department of Pharmacology and Dental Therapeutics, School of Dentistry, Chonnam National University, Gwangju, Republic of Korea
| | - Min-Kyung Ji
- Dental 4D Research Center, Chonnam National University, Gwangju, Republic of Korea
| | - Hyun-Pil Lim
- Department of Prosthodontics, School of Dentistry, Chonnam National University, Gwangju, Republic of Korea
| |
Collapse
|
15
|
Miakonkikh A, Kuzmenko V. Formation of Black Silicon in a Process of Plasma Etching with Passivation in a SF 6/O 2 Gas Mixture. NANOMATERIALS (BASEL, SWITZERLAND) 2024; 14:945. [PMID: 38869570 PMCID: PMC11173432 DOI: 10.3390/nano14110945] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 03/10/2024] [Revised: 05/15/2024] [Accepted: 05/24/2024] [Indexed: 06/14/2024]
Abstract
This article discusses a method for forming black silicon using plasma etching at a sample temperature range from -20 °C to +20 °C in a mixture of oxygen and sulfur hexafluoride. The surface morphology of the resulting structures, the autocorrelation function of surface features, and reflectivity were studied depending on the process parameters-the composition of the plasma mixture, temperature and other discharge parameters (radical concentrations). The relationship between these parameters and the concentrations of oxygen and fluorine radicals in plasma is shown. A novel approach has been studied to reduce the reflectance using conformal bilayer dielectric coatings deposited by atomic layer deposition. The reflectivity of the resulting black silicon was studied in a wide spectral range from 400 to 900 nm. As a result of the research, technologies for creating black silicon on silicon wafers with a diameter of 200 mm have been proposed, and the structure formation process takes no more than 5 min. The resulting structures are an example of the self-formation of nanostructures due to anisotropic etching in a gas discharge plasma. This material has high mechanical, chemical and thermal stability and can be used as an antireflective coating, in structures requiring a developed surface-photovoltaics, supercapacitors, catalysts, and antibacterial surfaces.
Collapse
Affiliation(s)
- Andrey Miakonkikh
- Valiev Institute of Physics and Technology of RAS, Nakhimovsky av. 34, 117218 Moscow, Russia;
| | | |
Collapse
|
16
|
Du C, Liu J, Liu S, Xiao P, Chen Z, Chen H, Huang W, Lei Y. Bone and Joint-on-Chip Platforms: Construction Strategies and Applications. SMALL METHODS 2024:e2400436. [PMID: 38763918 DOI: 10.1002/smtd.202400436] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 03/25/2024] [Revised: 04/28/2024] [Indexed: 05/21/2024]
Abstract
Organ-on-a-chip, also known as "tissue chip," is an advanced platform based on microfluidic systems for constructing miniature organ models in vitro. They can replicate the complex physiological and pathological responses of human organs. In recent years, the development of bone and joint-on-chip platforms aims to simulate the complex physiological and pathological processes occurring in human bones and joints, including cell-cell interactions, the interplay of various biochemical factors, the effects of mechanical stimuli, and the intricate connections between multiple organs. In the future, bone and joint-on-chip platforms will integrate the advantages of multiple disciplines, bringing more possibilities for exploring disease mechanisms, drug screening, and personalized medicine. This review explores the construction and application of Organ-on-a-chip technology in bone and joint disease research, proposes a modular construction concept, and discusses the new opportunities and future challenges in the construction and application of bone and joint-on-chip platforms.
Collapse
Affiliation(s)
- Chengcheng Du
- Department of Orthopedics, Orthopedic Laboratory of Chongqing Medical University, The First Affiliated Hospital of Chongqing Medical University, Chongqing, 400016, China
| | - Jiacheng Liu
- Department of Orthopedics, Orthopedic Laboratory of Chongqing Medical University, The First Affiliated Hospital of Chongqing Medical University, Chongqing, 400016, China
| | - Senrui Liu
- Department of Orthopedics, Orthopedic Laboratory of Chongqing Medical University, The First Affiliated Hospital of Chongqing Medical University, Chongqing, 400016, China
| | - Pengcheng Xiao
- Department of Orthopedics, Orthopedic Laboratory of Chongqing Medical University, The First Affiliated Hospital of Chongqing Medical University, Chongqing, 400016, China
| | - Zhuolin Chen
- Department of Orthopedics, Orthopedic Laboratory of Chongqing Medical University, The First Affiliated Hospital of Chongqing Medical University, Chongqing, 400016, China
| | - Hong Chen
- Department of Orthopedics, Orthopedic Laboratory of Chongqing Medical University, The First Affiliated Hospital of Chongqing Medical University, Chongqing, 400016, China
| | - Wei Huang
- Department of Orthopedics, Orthopedic Laboratory of Chongqing Medical University, The First Affiliated Hospital of Chongqing Medical University, Chongqing, 400016, China
| | - Yiting Lei
- Department of Orthopedics, Orthopedic Laboratory of Chongqing Medical University, The First Affiliated Hospital of Chongqing Medical University, Chongqing, 400016, China
| |
Collapse
|
17
|
Chen CY, Sun Z, Torsi R, Wang K, Kachian J, Liu B, Rayner GB, Chen Z, Appenzeller J, Lin YC, Robinson JA. Tailoring amorphous boron nitride for high-performance two-dimensional electronics. Nat Commun 2024; 15:4016. [PMID: 38740890 DOI: 10.1038/s41467-024-48429-4] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Grants] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Received: 12/08/2023] [Accepted: 04/26/2024] [Indexed: 05/16/2024] Open
Abstract
Two-dimensional (2D) materials have garnered significant attention in recent years due to their atomically thin structure and unique electronic and optoelectronic properties. To harness their full potential for applications in next-generation electronics and photonics, precise control over the dielectric environment surrounding the 2D material is critical. The lack of nucleation sites on 2D surfaces to form thin, uniform dielectric layers often leads to interfacial defects that degrade the device performance, posing a major roadblock in the realization of 2D-based devices. Here, we demonstrate a wafer-scale, low-temperature process (<250 °C) using atomic layer deposition (ALD) for the synthesis of uniform, conformal amorphous boron nitride (aBN) thin films. ALD deposition temperatures between 125 and 250 °C result in stoichiometric films with high oxidative stability, yielding a dielectric strength of 8.2 MV/cm. Utilizing a seed-free ALD approach, we form uniform aBN dielectric layers on 2D surfaces and fabricate multiple quantum well structures of aBN/MoS2 and aBN-encapsulated double-gated monolayer (ML) MoS2 field-effect transistors to evaluate the impact of aBN dielectric environment on MoS2 optoelectronic and electronic properties. Our work in scalable aBN dielectric integration paves a way towards realizing the theoretical performance of 2D materials for next-generation electronics.
Collapse
Affiliation(s)
- Cindy Y Chen
- Department of Materials Science and Engineering, The Pennsylvania State University, University Park, PA, 16802, USA
| | - Zheng Sun
- School of Electrical and Computer Engineering and Birck Nanotechnology Center, Purdue University, West Lafayette, IN, 47907, USA
| | - Riccardo Torsi
- Department of Materials Science and Engineering, The Pennsylvania State University, University Park, PA, 16802, USA
| | - Ke Wang
- Materials Research Institute, The Pennsylvania State University, University Park, PA, 16802, USA
| | - Jessica Kachian
- Intel Corporation, 2200 Mission College Blvd, Santa Clara, CA, 95054, USA
| | - Bangzhi Liu
- Materials Research Institute, The Pennsylvania State University, University Park, PA, 16802, USA
| | - Gilbert B Rayner
- The Kurt J. Lesker Company, 1925 PA-51, Jefferson Hills, PA, 15025, USA
| | - Zhihong Chen
- School of Electrical and Computer Engineering and Birck Nanotechnology Center, Purdue University, West Lafayette, IN, 47907, USA
| | - Joerg Appenzeller
- School of Electrical and Computer Engineering and Birck Nanotechnology Center, Purdue University, West Lafayette, IN, 47907, USA
| | - Yu-Chuan Lin
- Department of Materials Science and Engineering, National Yang Ming Chiao Tung University, Hsinchu City, 300, Taiwan.
| | - Joshua A Robinson
- Department of Materials Science and Engineering, The Pennsylvania State University, University Park, PA, 16802, USA.
- Materials Research Institute, The Pennsylvania State University, University Park, PA, 16802, USA.
- Two-Dimensional Crystal Consortium, The Pennsylvania State University, University Park, PA, 16802, USA.
| |
Collapse
|
18
|
Zhu XZ, Wang KL, Jin RJ, Chen JH, Hao YH, Nizamani N, Liu Y, Zhu YH, Zhang ME, Wang ZK, Liao LS. Bilateral Production Shield Enabling Highly Efficient Perovskite Photovoltaics. SMALL (WEINHEIM AN DER BERGSTRASSE, GERMANY) 2024:e2401701. [PMID: 38705844 DOI: 10.1002/smll.202401701] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 03/04/2024] [Revised: 04/22/2024] [Indexed: 05/07/2024]
Abstract
Enhancing the intrinsic stability of perovskite and through encapsulation to isolate water, oxygen, and UV-induced decomposition are currently common and most effective strategies in perovskite solar cells. Here, the atomic layer deposition process is employed to deposit a nanoscale (≈100 nm), uniform, and dense Al2O3 film on the front side of perovskite devices, effectively isolating them from the erosion caused by water and oxygen in the humid air. Simultaneously, nanoscale (≈100 nm) TiO2 films are also deposited on the glass surface to efficiently filter out the ultraviolet (UV) light in the light source, which induces degradation in perovskite. Ultimately, throughthe collaborative effects of both aspects, the stability of the devices is significantly improved under conditions of humid air and illumination. As a result, after storing the devices in ambient air for 1000 h, the efficiency only declines to 95%, and even after 662 h of UV exposure, the efficiency remains at 88%, far surpassing the performance of comparison devices. These results strongly indicate that the adopted Al2O3 and TiO2 thin films play a significant role in enhancing the stability of perovskite solar cells, demonstrating substantial potential for widespread industrial applications.
Collapse
Affiliation(s)
- Xiao-Zhao Zhu
- Institute of Functional Nano & Soft Materials (FUNSOM), Jiangsu Key Laboratory of Advanced Negative Carbon Technologies, Soochow University, Suzhou, 215123, China
- Institute of Organic Optoelectronics, Jiangsu Industrial Technology Research Institute, Suzhou, 215215, China
| | - Kai-Li Wang
- Institute of Functional Nano & Soft Materials (FUNSOM), Jiangsu Key Laboratory of Advanced Negative Carbon Technologies, Soochow University, Suzhou, 215123, China
| | - Run-Jun Jin
- Institute of Functional Nano & Soft Materials (FUNSOM), Jiangsu Key Laboratory of Advanced Negative Carbon Technologies, Soochow University, Suzhou, 215123, China
| | - Jin-Hui Chen
- Key Laboratory of Ministry of Education for Optoelectronic Measurement Technology and Instrument, Beijing Information Science & Technology University, Beijing, 100192, China
| | - Yi-Hong Hao
- Key Laboratory of Ministry of Education for Optoelectronic Measurement Technology and Instrument, Beijing Information Science & Technology University, Beijing, 100192, China
| | - Namatullah Nizamani
- Institute of Functional Nano & Soft Materials (FUNSOM), Jiangsu Key Laboratory of Advanced Negative Carbon Technologies, Soochow University, Suzhou, 215123, China
| | - Yuan Liu
- Key Laboratory of Ministry of Education for Optoelectronic Measurement Technology and Instrument, Beijing Information Science & Technology University, Beijing, 100192, China
| | - Ying-Hui Zhu
- Institute of Organic Optoelectronics, Jiangsu Industrial Technology Research Institute, Suzhou, 215215, China
| | - Mei-E Zhang
- Institute of Organic Optoelectronics, Jiangsu Industrial Technology Research Institute, Suzhou, 215215, China
| | - Zhao-Kui Wang
- Institute of Functional Nano & Soft Materials (FUNSOM), Jiangsu Key Laboratory of Advanced Negative Carbon Technologies, Soochow University, Suzhou, 215123, China
- Institute of Organic Optoelectronics, Jiangsu Industrial Technology Research Institute, Suzhou, 215215, China
| | - Liang-Sheng Liao
- Institute of Functional Nano & Soft Materials (FUNSOM), Jiangsu Key Laboratory of Advanced Negative Carbon Technologies, Soochow University, Suzhou, 215123, China
- Institute of Organic Optoelectronics, Jiangsu Industrial Technology Research Institute, Suzhou, 215215, China
| |
Collapse
|
19
|
Cho TH, Farjam N, Barton K, Dasgupta NP. Subtractive Patterning of Nanoscale Thin Films Using Acid-Based Electrohydrodynamic-Jet Printing. SMALL METHODS 2024; 8:e2301407. [PMID: 38161264 DOI: 10.1002/smtd.202301407] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 10/13/2023] [Revised: 12/16/2023] [Indexed: 01/03/2024]
Abstract
As an alternative to traditional photolithography, printing processes are widely explored for the patterning of customizable devices. However, to date, the majority of high-resolution printing processes for functional nanomaterials are additive in nature. To complement additive printing, there is a need for subtractive processes, where the printed ink results in material removal, rather than addition. In this study, a new subtractive patterning approach that uses electrohydrodynamic-jet (e-jet) printing of acid-based inks to etch nanoscale zinc oxide (ZnO) thin films deposited using atomic layer deposition (ALD) is introduced. By tuning the printing parameters, the depth and linewidth of the subtracted features can be tuned, with a minimum linewidth of 11 µm and a tunable channel depth with ≈5 nm resolution. Furthermore, by tuning the ink composition, the volatility and viscosity of the ink can be adjusted, resulting in variable spreading and dissolution dynamics at the solution/film interface. In the future, acid-based subtractive patterning using e-jet printing can be used for rapid prototyping or customizable manufacturing of functional devices on a range of substrates with nanoscale precision.
Collapse
Affiliation(s)
- Tae H Cho
- Department of Mechanical Engineering, University of Michigan, Ann Arbor, Michigan, 48109, USA
| | - Nazanin Farjam
- Department of Mechanical Engineering, University of Michigan, Ann Arbor, Michigan, 48109, USA
| | - Kira Barton
- Department of Mechanical Engineering, University of Michigan, Ann Arbor, Michigan, 48109, USA
- Department of Robotics, University of Michigan, Ann Arbor, Michigan, 48109, USA
| | - Neil P Dasgupta
- Department of Mechanical Engineering, University of Michigan, Ann Arbor, Michigan, 48109, USA
- Department of Materials Science & Engineering, University of Michigan, Ann Arbor, Michigan, 48109, USA
| |
Collapse
|
20
|
Choi S, Feng W, Xia Y. Recent Progress of High Voltage Spinel LiMn 1.5Ni 0.5O 4 Cathode Material for Lithium-Ion Battery: Surface Modification, Doping, Electrolyte, and Oxygen Deficiency. ACS OMEGA 2024; 9:18688-18708. [PMID: 38708231 PMCID: PMC11064041 DOI: 10.1021/acsomega.3c09101] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Track Full Text] [Download PDF] [Figures] [Subscribe] [Scholar Register] [Received: 11/15/2023] [Revised: 02/17/2024] [Accepted: 02/27/2024] [Indexed: 05/07/2024]
Abstract
High voltage spinel LiMn1.5Ni0.5O4 (LMNO) is a promising energy storage material for the next generation lithium batteries with high energy densities. However, due to the major controversies in synthesis, structure, and interfacial properties of LMNO, its unsatisfactory performance is still a challenge hindering the technology's practical applications. Herein, this paper provides general characteristics of LiMn1.5Ni0.5O4 such as spinel structure, electrochemical properties, and phase transition. In addition, factors such as electrolyte decomposition and morphology of LMNO that influence the electrochemical performances of LMNO are introduced. The strategies that enhance the electrochemical performances including coating, doping, electrolytes, and oxygen deficiency are comprehensively discussed. Through the discussion of the present research status and presentation of our perspectives on future development, we provide the rational design of LMNO in realizing lithium-ion batteries with improved electrochemical performances.
Collapse
Affiliation(s)
- Seokyoung Choi
- Department of Chemistry and Shanghai Key Laboratory of Molecular Catalysis and Innovative Materials, Institute of New Energy, iChEM (Collaborative Innovation Center of Chemistry for Energy Materials), Fudan University, Shanghai, 200433 China
| | - Wuliang Feng
- Institute for Sustainable Energy & College of Sciences, Shanghai University, Shanghai 200444, China
| | - Yongyao Xia
- Department of Chemistry and Shanghai Key Laboratory of Molecular Catalysis and Innovative Materials, Institute of New Energy, iChEM (Collaborative Innovation Center of Chemistry for Energy Materials), Fudan University, Shanghai, 200433 China
| |
Collapse
|
21
|
Green PB, Segura Lecina O, Albertini PP, Newton MA, Kumar K, Boulanger C, Leemans J, Thompson PBJ, Loiudice A, Buonsanti R. Colloidal Atomic Layer Deposition on Nanocrystals Using Ligand-Modified Precursors. J Am Chem Soc 2024; 146:10708-10715. [PMID: 38579275 DOI: 10.1021/jacs.4c00538] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 04/07/2024]
Abstract
Atomic layer deposition (ALD) is a method to grow thin metal oxide layers on a variety of materials for applications spanning from electronics to catalysis. Extending ALD to colloidally stable nanocrystals promises to combine the benefits of thin metal oxide coatings with the solution processability of the nanocrystals. However, challenges persist in applying this method, which relate to finding precursors that promote the growth of the metal oxide while preserving colloidal stability throughout the process. Herein, we introduce a colloidal ALD method to coat nanocrystals with amorphous metal oxide shells using metal and oxygen precursors that act as colloidal stabilizing ligands. Our scheme involves metal-amide precursors modified with solubilizing groups and oleic acid as the oxygen source. The growth of the oxide is self-limiting and proceeds in a layer-by-layer fashion. Our protocol is generalizable and intrinsically scalable. Potential applications in display, light detection, and catalysis are envisioned.
Collapse
Affiliation(s)
- Philippe B Green
- Laboratory of Nanochemistry for Energy (LNCE), Institute of Chemical Sciences and Engineering (ISIC), École Polytechnique Fédérale de Lausanne, Sion CH-1950, Switzerland
| | - Ona Segura Lecina
- Laboratory of Nanochemistry for Energy (LNCE), Institute of Chemical Sciences and Engineering (ISIC), École Polytechnique Fédérale de Lausanne, Sion CH-1950, Switzerland
| | - Petru P Albertini
- Laboratory of Nanochemistry for Energy (LNCE), Institute of Chemical Sciences and Engineering (ISIC), École Polytechnique Fédérale de Lausanne, Sion CH-1950, Switzerland
| | - Mark A Newton
- Laboratory of Nanochemistry for Energy (LNCE), Institute of Chemical Sciences and Engineering (ISIC), École Polytechnique Fédérale de Lausanne, Sion CH-1950, Switzerland
| | - Krishna Kumar
- Laboratory of Nanochemistry for Energy (LNCE), Institute of Chemical Sciences and Engineering (ISIC), École Polytechnique Fédérale de Lausanne, Sion CH-1950, Switzerland
| | - Coline Boulanger
- Laboratory of Nanochemistry for Energy (LNCE), Institute of Chemical Sciences and Engineering (ISIC), École Polytechnique Fédérale de Lausanne, Sion CH-1950, Switzerland
| | - Jari Leemans
- Laboratory of Nanochemistry for Energy (LNCE), Institute of Chemical Sciences and Engineering (ISIC), École Polytechnique Fédérale de Lausanne, Sion CH-1950, Switzerland
| | - Paul B J Thompson
- XMaS beamline, United Kingdom CRG, European Synchrotron Radiation Facility, 71, avenue des Martyrs, CS 40220, Grenoble Cedex 9 38043, France
| | - Anna Loiudice
- Laboratory of Nanochemistry for Energy (LNCE), Institute of Chemical Sciences and Engineering (ISIC), École Polytechnique Fédérale de Lausanne, Sion CH-1950, Switzerland
| | - Raffaella Buonsanti
- Laboratory of Nanochemistry for Energy (LNCE), Institute of Chemical Sciences and Engineering (ISIC), École Polytechnique Fédérale de Lausanne, Sion CH-1950, Switzerland
| |
Collapse
|
22
|
Yan C, Tong H, Liu C, Ye X, Yuan X, Xu J, Li H. Activation of polyimide by oxygen plasma for atomic layer deposition of highly compact titanium oxide coating. NANOTECHNOLOGY 2024; 35:265704. [PMID: 38522103 DOI: 10.1088/1361-6528/ad3743] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 07/11/2023] [Accepted: 03/24/2024] [Indexed: 03/26/2024]
Abstract
Titanium oxide (TiO2) coated polyimide has broad application prospects under extreme conditions. In order to obtain a high-quality ultra-thin TiO2coating on polyimide by atomic layer deposition (ALD), the polyimide was activated byin situoxygen plasma. It was found that a large number of polar oxygen functional groups, such as carboxyl, were generated on the surface of the activated polyimide, which can significantly promote the preparation of TiO2coating by ALD. The nucleation and growth of TiO2were studied by x-ray photoelectron spectroscopy monitoring and scanning electron microscopy observation. On the polyimide activated by oxygen plasma, the size of TiO2nuclei decreased and the quantity of TiO2nuclei increased, resulting in the growth of a highly uniform and dense TiO2coating. This coating exhibited excellent resistance to atomic oxygen. When exposed to 3.5 × 1021atom cm-2atomic oxygen flux, the erosion yield of the polyimide coated with 100 ALD cycles of TiO2was as low as 3.0 × 10-25cm3/atom, which is one order less than that of the standard POLYIMIDE-ref Kapton®film.
Collapse
Affiliation(s)
- Chi Yan
- School of Materials Science and Engineering, East China University of Science and Technology, Shanghai, 200237, People's Republic of China
| | - Hua Tong
- School of Materials Science and Engineering, East China University of Science and Technology, Shanghai, 200237, People's Republic of China
| | - Cui Liu
- School of Materials Science and Engineering, East China University of Science and Technology, Shanghai, 200237, People's Republic of China
| | - Xiaojun Ye
- School of Materials Science and Engineering, East China University of Science and Technology, Shanghai, 200237, People's Republic of China
| | - Xiao Yuan
- School of Materials Science and Engineering, East China University of Science and Technology, Shanghai, 200237, People's Republic of China
| | - Jiahui Xu
- School of Materials Science and Engineering, East China University of Science and Technology, Shanghai, 200237, People's Republic of China
| | - Hongbo Li
- School of Materials Science and Engineering, East China University of Science and Technology, Shanghai, 200237, People's Republic of China
| |
Collapse
|
23
|
Swarup JV, Chuang HR, You AL, Engstrom JR. Effect of Co-Reactants on Interfacial Oxidation in Atomic Layer Deposition of Oxides on Metal Surfaces. ACS APPLIED MATERIALS & INTERFACES 2024; 16:16983-16995. [PMID: 38506615 DOI: 10.1021/acsami.3c19033] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 03/21/2024]
Abstract
We have examined the atomic layer deposition (ALD) of Al2O3 using TMA as the precursor and t-BuOH and H2O as the co-reactants, focusing on the effects of the latter on both the ALD process and the possible modification of the underlying substrate. We employed a quartz crystal microbalance (QCM) to monitor ALD in situ and in real time, and the deposited thin films have been characterized using X-ray photoelectron spectroscopy, spectroscopic ellipsometry, X-ray reflectivity, and atomic force microscopy. Growth of thin films of Al2O3 using TMA and either t-BuOH or H2O as the co-reactant at T = 285 °C produces thin films of similar physical properties (density, stoichiometry, minimal carbon incorporation), and the growth rate per cycle is similar for the two co-reactants at this temperature. At a lower temperature of T = 120 °C, the behavior is starkly different, where growth occurs with H2O but not with t-BuOH. At either process temperature, we find no evidence for significant coverages of a long-lived tert-butoxy species from the reaction of t-BuOH. Deposition of thin films of Al2O3 on metal surfaces of Cu and Co has been examined for evidence of interfacial oxidation. While growth with either co-reactant does not lead to the oxidation of the underlying Cu substrate, use of H2O leads to the oxidation of Co, but use of t-BuOH as the co-reactant does not. Thermodynamic factors may affect the early stages of growth, as Al species will likely scavenge all free O species. In contrast, at later times, diffusion of species through the deposited Al2O3 thin film could result in oxidation at the Al2O3|metal interface, a process that is strongly hindered in the case of t-BuOH due to its size. This observation highlights the importance of the choice of the co-reactant concerning ALD of oxides on metal surfaces.
Collapse
Affiliation(s)
- Jay V Swarup
- RFS School of Chemical and Biomolecular Engineering Cornell University Ithaca, New York 14850, United States
| | - Heng-Ray Chuang
- RFS School of Chemical and Biomolecular Engineering Cornell University Ithaca, New York 14850, United States
| | - Amy L You
- RFS School of Chemical and Biomolecular Engineering Cornell University Ithaca, New York 14850, United States
| | - James R Engstrom
- RFS School of Chemical and Biomolecular Engineering Cornell University Ithaca, New York 14850, United States
| |
Collapse
|
24
|
Gao Z, Zhang C, Wang Q, Xu G, Gan G, Zhang H. Emerging Non-Noble-Metal Atomic Layer Deposited Copper as Seeds for Electroless Copper Deposition. MATERIALS (BASEL, SWITZERLAND) 2024; 17:1620. [PMID: 38612134 PMCID: PMC11012310 DOI: 10.3390/ma17071620] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 02/22/2024] [Revised: 03/18/2024] [Accepted: 03/28/2024] [Indexed: 04/14/2024]
Abstract
Copper metal catalyst seeds have recently triggered much research interest for the development of low-cost and high-performance metallic catalysts with industrial applications. Herein, we present metallic Cu catalyst seeds deposited by an atomic layer deposition method on polymer substrates. The atomic layer deposited Cu (ALD-Cu) can ideally substitute noble metals Ag, Au, and Pd to catalyze Cu electroless deposition. The optimized deposition temperature and growth cycles of an ALD-Cu catalyzed seed layer have been obtained to achieve a flexible printed circuit (FPC) with a high performance electroless plating deposited Cu (ELD-Cu) film. The ELD-Cu films on the ALD-Cu catalyst seeds grown display a uniform and dense deposition with a low resistivity of 1.74 μΩ·cm, even in the through via and trench of substates. Furthermore, the ALD-Cu-catalyzed ELD-Cu circuits and LED devices fabricated on treated PI also demonstrate excellent conductive and mechanical features. The remarkable conductive and mechanical characteristics of the ALD-Cu seed catalyzed ELD-Cu process demonstrate its tremendous potential in high-density integrated FPC applications.
Collapse
Affiliation(s)
- Zihong Gao
- Faculty of Materials Science and Engineering, Kunming University of Science and Technology, Kunming 650500, China
- Laboratory of Advanced Nano Materials and Devices, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201, China
| | - Chengli Zhang
- Ningbo Wakan Electronic Science Technology Co., Ltd., Ningbo 315475, China
| | - Qiang Wang
- Ningbo Wakan Electronic Science Technology Co., Ltd., Ningbo 315475, China
| | - Guanglong Xu
- Ningbo Wakan Electronic Science Technology Co., Ltd., Ningbo 315475, China
| | - Guoyou Gan
- Faculty of Materials Science and Engineering, Kunming University of Science and Technology, Kunming 650500, China
| | - Hongliang Zhang
- Laboratory of Advanced Nano Materials and Devices, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201, China
- Center of Materials Science and Optoelectronics Engineering, University of Chinese Academy of Sciences, Beijing 100049, China
| |
Collapse
|
25
|
Zhang B, Wang Z, Wang J, Chen X. Recent Achievements for Flexible Encapsulation Films Based on Atomic/Molecular Layer Deposition. MICROMACHINES 2024; 15:478. [PMID: 38675289 PMCID: PMC11051879 DOI: 10.3390/mi15040478] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 02/27/2024] [Revised: 03/22/2024] [Accepted: 03/29/2024] [Indexed: 04/28/2024]
Abstract
The purpose of this paper is to review the research progress in the realization of the organic-inorganic hybrid thin-film packaging of flexible organic electroluminescent devices using the PEALD (plasma-enhanced atomic layer deposition) and MLD (molecular layer deposition) techniques. Firstly, the importance and application prospect of organic electroluminescent devices in the field of flexible electronics are introduced. Subsequently, the principles, characteristics and applications of PEALD and MLD technologies in device packaging are described in detail. Then, the methods and process optimization strategies for the preparation of organic-inorganic hybrid thin-film encapsulation layers using PEALD and MLD technologies are reviewed. Further, the research results on the encapsulation effect, stability and reliability of organic-inorganic hybrid thin-film encapsulation layers in flexible organic electroluminescent devices are discussed. Finally, the current research progress is summarized, and the future research directions and development trends are prospected.
Collapse
Affiliation(s)
- Buyue Zhang
- School of Physics, Changchun University of Science and Technology, Changchun 130012, China
| | - Zhenyu Wang
- State Key Laboratory on Integrated Optoelectronics, College of Electronic Science & Engineering, Jilin University, Changchun 130012, China;
| | - Jintao Wang
- School of Information Engineering, Yantai Institute of Technology, Yantai 264005, China
| | - Xinyu Chen
- School of Physics, Changchun University of Science and Technology, Changchun 130012, China
| |
Collapse
|
26
|
Pei C, Chen S, Fu D, Zhao ZJ, Gong J. Structured Catalysts and Catalytic Processes: Transport and Reaction Perspectives. Chem Rev 2024; 124:2955-3012. [PMID: 38478971 DOI: 10.1021/acs.chemrev.3c00081] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 03/28/2024]
Abstract
The structure of catalysts determines the performance of catalytic processes. Intrinsically, the electronic and geometric structures influence the interaction between active species and the surface of the catalyst, which subsequently regulates the adsorption, reaction, and desorption behaviors. In recent decades, the development of catalysts with complex structures, including bulk, interfacial, encapsulated, and atomically dispersed structures, can potentially affect the electronic and geometric structures of catalysts and lead to further control of the transport and reaction of molecules. This review describes comprehensive understandings on the influence of electronic and geometric properties and complex catalyst structures on the performance of relevant heterogeneous catalytic processes, especially for the transport and reaction over structured catalysts for the conversions of light alkanes and small molecules. The recent research progress of the electronic and geometric properties over the active sites, specifically for theoretical descriptors developed in the recent decades, is discussed at the atomic level. The designs and properties of catalysts with specific structures are summarized. The transport phenomena and reactions over structured catalysts for the conversions of light alkanes and small molecules are analyzed. At the end of this review, we present our perspectives on the challenges for the further development of structured catalysts and heterogeneous catalytic processes.
Collapse
Affiliation(s)
- Chunlei Pei
- Key Laboratory for Green Chemical Technology of Ministry of Education, School of Chemical Engineering and Technology, Tianjin University, Tianjin 300072, China
- Collaborative Innovation Center of Chemical Science and Engineering, Tianjin 300072, China
| | - Sai Chen
- Key Laboratory for Green Chemical Technology of Ministry of Education, School of Chemical Engineering and Technology, Tianjin University, Tianjin 300072, China
- Collaborative Innovation Center of Chemical Science and Engineering, Tianjin 300072, China
| | - Donglong Fu
- Key Laboratory for Green Chemical Technology of Ministry of Education, School of Chemical Engineering and Technology, Tianjin University, Tianjin 300072, China
- Collaborative Innovation Center of Chemical Science and Engineering, Tianjin 300072, China
| | - Zhi-Jian Zhao
- Key Laboratory for Green Chemical Technology of Ministry of Education, School of Chemical Engineering and Technology, Tianjin University, Tianjin 300072, China
- Collaborative Innovation Center of Chemical Science and Engineering, Tianjin 300072, China
| | - Jinlong Gong
- Key Laboratory for Green Chemical Technology of Ministry of Education, School of Chemical Engineering and Technology, Tianjin University, Tianjin 300072, China
- Collaborative Innovation Center of Chemical Science and Engineering, Tianjin 300072, China
- Joint School of National University of Singapore and Tianjin University, International Campus of Tianjin University, Binhai New City, Fuzhou 350207, China
- Haihe Laboratory of Sustainable Chemical Transformations, Tianjin 300192, China
- National Industry-Education Platform of Energy Storage, Tianjin University, 135 Yaguan Road, Tianjin 300350, China
| |
Collapse
|
27
|
Shu F, Chen H, Zhang Z, Dun Z, Lv W, Sun W, Liu M. Shear Bond Strength to Enamel, Mechanical Properties and Cellular Studies of Fiber-Reinforced Composites Modified by Depositing SiO 2 Nanofilms on Quartz Fibers via Atomic Layer Deposition. Int J Nanomedicine 2024; 19:2113-2136. [PMID: 38476282 PMCID: PMC10929249 DOI: 10.2147/ijn.s446584] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Download PDF] [Figures] [Journal Information] [Subscribe] [Scholar Register] [Received: 10/25/2023] [Accepted: 02/13/2024] [Indexed: 03/14/2024] Open
Abstract
Introduction Poor interfacial bonding between the fibers and resin matrix in fiber-reinforced composites (FRCs) is a significant drawback of the composites. To enhance the mechanical properties of FRC, fibers were modified by depositing SiO2 nanofilms via the atomic layer deposition (ALD) technique. This study aims to evaluate the effect of ALD treatment of the fibers on the mechanical properties of the FRCs. Methods The quartz fibers were modified by depositing different cycles (50, 100, 200, and 400) of SiO2 nanofilms via the ALD technique and FRCs were proposed from the modified fibers. The morphologies, surface characterizations of nanofilms, mechanical properties, and cytocompatibility of FRCs were systematically investigated. Moreover, the shear bond strength (SBS) of FRCs to human enamel was also evaluated. Results The SEM and SE results showed that the ALD-deposited SiO2 nanofilms have good conformality and homogeneity. According to the results of FTIR and TGA, SiO2 nanofilms and quartz fiber surfaces had good chemical combinations. Three-point bending tests with FRCs showed that the deposited SiO2 nanofilms effectively improved FRCs' strength and Group D underwent 100 deposition cycles and had the highest flexural strength before and after aging. Furthermore, the strength of the FRCs demonstrated a crescendo-decrescendo tendency with SiO2 nanofilm thickness increasing. The SBS results also showed that Group D had outstanding performance. Moreover, the results of cytotoxicity experiments such as cck8, LDH and Elisa, etc., showed that the FRCs have good cytocompatibility. Conclusion Changing the number of ALD reaction cycles affects the mechanical properties of FRCs, which may be related to the stress relaxation and fracture between SiO2 nanofilm layers and the built-up internal stresses in the nanofilms. Eventually, the SiO2 nanofilms could enhance the FRCs' mechanical properties and performance to enamel by improving the interfacial bonding strength, and have good cytocompatibility.
Collapse
Affiliation(s)
- Fei Shu
- Department of Prosthodontics, The Affiliated Stomatological Hospital of Nanjing Medical University, Jiangsu Province Key Laboratory of Oral Diseases, Jiangsu Province Engineering Research Center of Stomatological Translational Medicine, Nanjing, People’s Republic of China
| | - Hong Chen
- Department of Prosthodontics, The Affiliated Stomatological Hospital of Nanjing Medical University, Jiangsu Province Key Laboratory of Oral Diseases, Jiangsu Province Engineering Research Center of Stomatological Translational Medicine, Nanjing, People’s Republic of China
| | - Zhihao Zhang
- Department of Prosthodontics, The Affiliated Stomatological Hospital of Nanjing Medical University, Jiangsu Province Key Laboratory of Oral Diseases, Jiangsu Province Engineering Research Center of Stomatological Translational Medicine, Nanjing, People’s Republic of China
| | - Zhiyue Dun
- Department of Prosthodontics, The Affiliated Stomatological Hospital of Nanjing Medical University, Jiangsu Province Key Laboratory of Oral Diseases, Jiangsu Province Engineering Research Center of Stomatological Translational Medicine, Nanjing, People’s Republic of China
| | - Weijin Lv
- Department of Prosthodontics, The Affiliated Stomatological Hospital of Nanjing Medical University, Jiangsu Province Key Laboratory of Oral Diseases, Jiangsu Province Engineering Research Center of Stomatological Translational Medicine, Nanjing, People’s Republic of China
| | - Wangxinyue Sun
- Department of Prosthodontics, The Affiliated Stomatological Hospital of Nanjing Medical University, Jiangsu Province Key Laboratory of Oral Diseases, Jiangsu Province Engineering Research Center of Stomatological Translational Medicine, Nanjing, People’s Republic of China
| | - Mei Liu
- Department of Prosthodontics, The Affiliated Stomatological Hospital of Nanjing Medical University, Jiangsu Province Key Laboratory of Oral Diseases, Jiangsu Province Engineering Research Center of Stomatological Translational Medicine, Nanjing, People’s Republic of China
| |
Collapse
|
28
|
Nguyen TT, Nguyen Thi Kieu D, Bui HV, Le Thi Ngoc L, Nguyen VH. Enhancing control in spatial atomic layer deposition: insights into precursor diffusion, geometric parameters, and CVD mitigation strategies. NANOTECHNOLOGY 2024; 35:205601. [PMID: 38350118 DOI: 10.1088/1361-6528/ad28d6] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 11/12/2023] [Accepted: 02/13/2024] [Indexed: 02/15/2024]
Abstract
In recent years, spatial atomic layer deposition (SALD) has gained significant attention for its remarkable capability to accelerate ALD growth by several orders of magnitude compared to conventional ALD, all while operating at atmospheric pressure. Nevertheless, the persistent challenge of inadvertent contributions from chemical vapor deposition (CVD) in SALD processes continues to impede control over film homogeneity, and properties. This research underscores the often-overlooked influence of diffusion coefficients and important geometric parameters on the close-proximity SALD growth patterns. We introduce comprehensive physical models complemented by finite element method simulations for fluid dynamics to elucidate SALD growth kinetics across diverse scenarios. Our experimental findings, in alignment with theoretical models, reveal distinctive growth rate trends in ZnO and SnO2films as a function of the deposition gap. These trends are ascribed to precursor diffusion effects within the SALD system. Notably, a reduced deposition gap proves advantageous for both diffusive and low-volatility bulky precursors, minimizing CVD contributions while enhancing precursor chemisorption kinetics. However, in cases involving highly diffusive precursors, a deposition gap of less than 100μm becomes imperative, posing technical challenges for large-scale applications. This can be ameliorated by strategically adjusting the separation distance between reactive gas outlets to mitigate CVD contributions, which in turn leads to a longer deposition time. Furthermore, we discuss the consequential impact on material properties and propose a strategy to optimize the injection head to control the ALD/CVD growth mode.
Collapse
Affiliation(s)
- Thien Thanh Nguyen
- Faculty of Materials Science and Engineering, Phenikaa University, Hanoi 12116, Vietnam
| | - Diem Nguyen Thi Kieu
- Faculty of Materials Science and Engineering, Phenikaa University, Hanoi 12116, Vietnam
- Department of Physics and Materials Science, Faculty of Natural Sciences, Quy Nhon University, 170 An Duong Vuong, Quy Nhon, 590000, Vietnam
| | - Hao Van Bui
- Faculty of Materials Science and Engineering, Phenikaa University, Hanoi 12116, Vietnam
| | - Loan Le Thi Ngoc
- Department of Physics and Materials Science, Faculty of Natural Sciences, Quy Nhon University, 170 An Duong Vuong, Quy Nhon, 590000, Vietnam
| | - Viet Huong Nguyen
- Faculty of Materials Science and Engineering, Phenikaa University, Hanoi 12116, Vietnam
| |
Collapse
|
29
|
Heikkinen N, Lehtonen J, Puurunen RL. An atomic layer deposition diffusion-reaction model for porous media with different particle geometries. Phys Chem Chem Phys 2024; 26:7580-7591. [PMID: 38362743 DOI: 10.1039/d3cp05639b] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 02/17/2024]
Abstract
This work presents a diffusion-reaction model for atomic layer deposition (ALD), which has been adapted to describe radial direction reactant transport and adsorption kinetics in a porous particle. Specifically, we present the effect of three particle geometries: spherical, cylindrical and a slab in the diffusion-reaction model. The reactant diffusion propagates as a unidimensional front inside the slab particle, whereas with cylinder and spherical particles, the reactant diffusion approaches the particle centre from two and three dimensions, respectively. Due to additional reactant propagation dimensions, cylindrical and spherical particles require less exposure for full particle penetration. In addition to the particle geometry effect, a sensitivity analysis was used to compare the impact of the particles' physical properties on the achieved penetration depth. The analysis evaluates properties, such as the combined porosity and tortuosity factor, mean pore diameter, specific surface area, pore volume, and particle radius. Furthermore, we address the impact of the reactant molar mass, growth-per-cycle (GPC), sticking probability, reactant exposure and deposition temperature on the simulated diffusion and surface coverage profiles. The diffusion-reaction model presented in this work is relevant for the design and optimization of ALD processes in porous media with different particle geometries.
Collapse
Affiliation(s)
- Niko Heikkinen
- VTT Technical Research Centre of Finland, P.O. Box 1000, FIN-02044 VTT, Espoo, Finland.
| | - Juha Lehtonen
- VTT Technical Research Centre of Finland, P.O. Box 1000, FIN-02044 VTT, Espoo, Finland.
| | - Riikka L Puurunen
- Department of Chemical and Metallurgical Engineering, Aalto University School of Chemical Engineering, Kemistintie 1, Espoo, Finland.
| |
Collapse
|
30
|
Kim J, Lee D, Bae J, Lee T, Jeon H. Atomic layer deposition of SnS 2film on a precursor pre-treated substrate. NANOTECHNOLOGY 2024; 35:205705. [PMID: 38306693 DOI: 10.1088/1361-6528/ad2573] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 12/21/2023] [Accepted: 02/02/2024] [Indexed: 02/04/2024]
Abstract
Two-dimensional (2D) materials are attracting attention because of their outstanding physical, chemical, and electrical properties for applications of various future devices such as back-end-of-line field effect transistor (BEOL FET). Among many 2D materials, tin disulfide (SnS2) material is advantageous for low temperature process due to low melting point that can be used for flexible devices and back-end-of-line (BEOL) devices that require low processing temperature. However, low temperature synthesis method has a poor crystallinity for applying to various semiconductor industries. Hence, many studies of improving crystallinity of tin disulfide film are studied for enhancing the quality of film. In this work, we propose a precursor multi-dosing method before deposition of SnS2. This precursor pre-treatment was conducted by atomic layer deposition cycles for more adsorption of precursors to the substrate before deposition. The film quality was analyzed by x-ray diffraction, Raman, transmission electron microscopy, atomic force microscopy and x-ray photoelectron spectroscopy. As a result, more adsorbates by precursor pre-treatment induce higher growth rate and better crystallinity of film.
Collapse
Affiliation(s)
- Jungtae Kim
- Department of Nanoscale Semiconductor Engineering, Hanyang University, Seoul, 04673, Republic of Korea
| | - Dowwook Lee
- Division of Materials Science and Engineering, Hanyang University, Seoul, 04673, Republic of Korea
| | - Jangho Bae
- Division of Materials Science and Engineering, Hanyang University, Seoul, 04673, Republic of Korea
| | - Taeyoon Lee
- Department of Nanoscale Semiconductor Engineering, Hanyang University, Seoul, 04673, Republic of Korea
| | - Hyeongtag Jeon
- Department of Nanoscale Semiconductor Engineering, Hanyang University, Seoul, 04673, Republic of Korea
- Division of Materials Science and Engineering, Hanyang University, Seoul, 04673, Republic of Korea
| |
Collapse
|
31
|
Chen B, Zhu Y, Ma S, Zhao B, Feng F. A semi-packed gas chromatographic column with staggered elliptic cylindrical post arrays. Analyst 2024; 149:1579-1585. [PMID: 38288594 DOI: 10.1039/d3an02016a] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 02/27/2024]
Abstract
A semi-packed gas chromatographic column has the advantages of high specific surface area and low column pressure. We report that the stagnation regions formed in the adjacent posts along the channel of the semi-packed columns can decrease the area and height of chromatographic peaks, which makes it difficult to detect low-concentration mixed gases. A semi-packed column with staggered elliptic cylindrical post arrays (SC-S) made using a micro-electro-mechanical system technique is presented, and the separation performance of SC-S is compared with that of a semi-packed column with aligned elliptic cylindrical post arrays (SC-A). The simulation results show that the width of stagnation regions in SC-S is 86.89% smaller than that in SC-A. The experimental results indicate that the area and height of chromatographic peaks increased as stagnation regions reduced. In the separation of the alkane mixture from C8 through C10 with 10 ppm concentration, the chromatographic peak of decane was hardly identified in SC-A while the chromatographic peak in SC-S was still clearly visible. The chromatographic peak heights of octane and nonane were increased by 65.06% and 130.00%, respectively, in SC-S. The peak areas of octane and nonane were increased by 120.45% and 168.18%, respectively.
Collapse
Affiliation(s)
- Boxin Chen
- State Key Laboratory of Transducer Technology, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050, China.
- Center of Materials Science and Optoelectronics Engineering, University of Chinese Academy of Sciences, Beijing 100049, China
| | - Yuchen Zhu
- State Key Laboratory of Transducer Technology, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050, China.
- Center of Materials Science and Optoelectronics Engineering, University of Chinese Academy of Sciences, Beijing 100049, China
| | - Shaojie Ma
- State Key Laboratory of Transducer Technology, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050, China.
- Center of Materials Science and Optoelectronics Engineering, University of Chinese Academy of Sciences, Beijing 100049, China
| | - Bin Zhao
- State Key Laboratory of Transducer Technology, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050, China.
- Center of Materials Science and Optoelectronics Engineering, University of Chinese Academy of Sciences, Beijing 100049, China
| | - Fei Feng
- State Key Laboratory of Transducer Technology, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050, China.
- Center of Materials Science and Optoelectronics Engineering, University of Chinese Academy of Sciences, Beijing 100049, China
| |
Collapse
|
32
|
Welch BC, Antonio EN, Chaney TP, McIntee OM, Strzalka J, Bright VM, Greenberg AR, Segal-Peretz T, Toney M, George SM. Building Semipermeable Films One Monomer at a Time: Structural Advantages via Molecular Layer Deposition vs Interfacial Polymerization. CHEMISTRY OF MATERIALS : A PUBLICATION OF THE AMERICAN CHEMICAL SOCIETY 2024; 36:1362-1374. [PMID: 38370278 PMCID: PMC10870709 DOI: 10.1021/acs.chemmater.3c02519] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Grants] [Track Full Text] [Figures] [Subscribe] [Scholar Register] [Received: 10/03/2023] [Revised: 12/29/2023] [Accepted: 01/02/2024] [Indexed: 02/20/2024]
Abstract
Molecular layer deposition (MLD) provides the opportunity to perform condensation polymerization one vaporized monomer at a time for the creation of precise, selective nanofilms for desalination membranes. Here, we compare the structure, chemistry, and morphology of two types of commercial interfacial polymerzation (IP) membranes with lab-made MLD films. M-phenylenediamine (MPD) and trimesoyl chloride (TMC) produced a cross-linked, aromatic polyamide often used in reverse osmosis membranes at MLD growth rates of 2.9 Å/cycle at 115 °C. Likewise, piperazine (PIP) and TMC formed polypiperazine amide, a common selective layer in nanofiltration membranes, with MLD growth rates of 1.5 Å/cycle at 115 °C. Ellipsometry and X-ray reflectivity results suggest that the surface of the MLD films is comprised of polymer segments roughly two monomers in length, which are connected at one end to the cross-linked bulk layer. As a result of this structure as well as the triple-functionality of TMC, MPD-TMC had a temperature window of stable growth rate from 115 to 150 °C, which is unlike any non-cross-linked MLD chemistries reported in the literature. Compared to IP films, corresponding MLD films were denser and morphologically conformal, which suggests a reduction in void volumes; this explains the high degree of salt rejection and reduced flux previously observed for exceptionally thin MPD-TMC MLD membranes. Using X-ray photoelectron spectroscopy and infrared spectroscopy, MLD PIP-TMC films evidenced a completely cross-linked internal structure, which lacked amine and carboxyl groups, pointing to a hydrophobic bulk structure, ideal for optimized water flux. Grazing-incidence wide-angle X-ray scattering showed broad features in each polyamide with d-spacings of 5.0 Å in PIP-TMC compared to that of 3.8 Å in MPD-TMC. While MLD and IP films were structurally identical to PIP-TMC, MPD-TMC IP films had a structure that may have been altered by post-treatment compared to MLD films. These results provide foundational insights into the MLD process, structure-performance relationships, and membrane fabrication.
Collapse
Affiliation(s)
- Brian C. Welch
- Israel
Institute of Technology, Haifa 3200003, Israel
- University
of Colorado Boulder, Boulder, Colorado 80309, United States
| | - Emma N. Antonio
- University
of Colorado Boulder, Boulder, Colorado 80309, United States
| | - Thomas P. Chaney
- University
of Colorado Boulder, Boulder, Colorado 80309, United States
| | - Olivia M. McIntee
- University
of Colorado Boulder, Boulder, Colorado 80309, United States
| | - Joseph Strzalka
- Argonne
National Laboratory, Lemont, Illinois 60439, United States
| | - Victor M. Bright
- University
of Colorado Boulder, Boulder, Colorado 80309, United States
| | - Alan R. Greenberg
- University
of Colorado Boulder, Boulder, Colorado 80309, United States
| | | | - Michael Toney
- University
of Colorado Boulder, Boulder, Colorado 80309, United States
| | - Steven M. George
- University
of Colorado Boulder, Boulder, Colorado 80309, United States
| |
Collapse
|
33
|
Ogunfowora LA, Singh I, Arellano N, Pattison TG, Magbitang T, Nguyen K, Ransom B, Lionti K, Nguyen S, Topura T, Delenia E, Sherwood M, Savoie BM, Wojtecki R. Reactive Vapor-Phase Inhibitors for Area-Selective Depositions at Tunable Critical Dimensions. ACS APPLIED MATERIALS & INTERFACES 2024; 16:5268-5277. [PMID: 38206307 DOI: 10.1021/acsami.3c14821] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 01/12/2024]
Abstract
Area-selective depositions (ASD) take advantage of the chemical contrast between material surfaces in device fabrication, where a film can be selectively grown by chemical vapor deposition on metal versus a dielectric, for instance, and can provide a path to nontraditional device architectures as well as the potential to improve existing device fabrication schemes. While ASD can be accessed through a variety of methods, the incorporation of reactive moieties in inhibitors presents several advantages, such as increasing thermal stability and limiting precursor diffusion into the blocking layer. Alkyne-terminated small molecule inhibitors (SMIs)─propargyl, dipropargyl, and tripropargylamine─were evaluated as metal-selective inhibitors. Modeling these SMIs provided insight into the binding mechanism, influence of sterics, and complex polymer network formed from the reaction between inhibitors consisting of alkene, aromatic, and network branchpoints. While a significant contrast in the binding of the SMIs on copper versus a dielectric was observed, residual amounts were detected on the dielectric surfaces, leading to variable ALD growth rates dependent on pattern-critical dimensions. This behavior can be controlled and utilized to direct film growth on patterns only above a critical threshold dimension; below this threshold, both the dielectric and metal features are protected. This method provides another design parameter for ASD processes and may extend its application to broader-ranging device fabrication schemes.
Collapse
Affiliation(s)
- Lawal Adewale Ogunfowora
- International Business Machines─Almaden Research Center, San Jose, California 95120, United States
| | - Ishwar Singh
- International Business Machines─Almaden Research Center, San Jose, California 95120, United States
| | - Noel Arellano
- International Business Machines─Almaden Research Center, San Jose, California 95120, United States
| | - Thomas G Pattison
- International Business Machines─Almaden Research Center, San Jose, California 95120, United States
| | - Teddie Magbitang
- International Business Machines─Almaden Research Center, San Jose, California 95120, United States
| | - Khanh Nguyen
- International Business Machines─Almaden Research Center, San Jose, California 95120, United States
| | - Brandi Ransom
- International Business Machines─Almaden Research Center, San Jose, California 95120, United States
| | - Krystelle Lionti
- International Business Machines─Almaden Research Center, San Jose, California 95120, United States
| | - Son Nguyen
- International Business Machines─Semiconductor Technology Research, Albany, New York 12203, United States
| | - Teya Topura
- International Business Machines─Almaden Research Center, San Jose, California 95120, United States
| | - Eugene Delenia
- International Business Machines─Almaden Research Center, San Jose, California 95120, United States
| | - Mark Sherwood
- International Business Machines─Almaden Research Center, San Jose, California 95120, United States
| | - Brett M Savoie
- Davidson School of Chemical Engineering, Purdue University, West Lafayette, Indiana 47907, United States
| | - Rudy Wojtecki
- International Business Machines─Almaden Research Center, San Jose, California 95120, United States
| |
Collapse
|
34
|
Yang X, Sun P, Wen Y, Mane AU, Elam JW, Ma J, Liu S, Darling SB, Shao L. Protein-activated atomic layer deposition for robust crude-oil-repellent hierarchical nano-armored membranes. Sci Bull (Beijing) 2024; 69:218-226. [PMID: 38087739 DOI: 10.1016/j.scib.2023.12.001] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Received: 06/28/2023] [Revised: 10/02/2023] [Accepted: 11/23/2023] [Indexed: 01/16/2024]
Abstract
Atomic layer deposition (ALD) offers unique capabilities to fabricate atomically engineered porous materials with precise pore tuning and multi-functionalization for diverse applications like advanced membrane separations towards sustainable energy-water systems. However, current ALD technique is inhibited on most non-polar polymeric membranes due to lack of accessible nucleation sites. Here, we report a facile method to efficiently promote ALD coating on hydrophobic surface of polymeric membranes via novel protein activation/sensitization. As a proof of concept, TiO2 ALD-coated membranes activated by bovine serum albumin exhibit remarkable superhydrophilicity, ultralow underwater crude oil adhesion, and robust tolerance to rigorous environments including acid, alkali, saline, and ethanol. Most importantly, excellent cyclable crude oil-in-water emulsion separation performance can be achieved. The mechanism for activation/sensitization is rooted in reactivity for a particular set of amino acids. Furthermore, the universality of protein-sensitized ALD is demonstrated using common egg white, promising numerous potential usages in biomedical engineering, environmental remediation, low-carbon manufacturing, catalysis, and beyond.
Collapse
Affiliation(s)
- Xiaobin Yang
- MIIT Key Laboratory of Critical Materials Technology for New Energy Conversion and Storage, State Key Laboratory of Urban Water Resource and Environment, School of Chemistry and Chemical Engineering, Harbin Institute of Technology, Harbin 150001, China; Chemical Sciences and Engineering Division, Argonne National Laboratory, Lemont IL 60439, USA; Advanced Materials for Energy-Water Systems Energy Frontier Research Center, Argonne National Laboratory, Lemont IL 60439, USA; School of Environment, Harbin Institute of Technology, Harbin 150090, China
| | - Pan Sun
- Pritzker School of Molecular Engineering, University of Chicago, Chicago IL 60637, USA; Department of Physics, University of Illinois at Chicago, Chicago IL 60607, USA
| | - Yajie Wen
- MIIT Key Laboratory of Critical Materials Technology for New Energy Conversion and Storage, State Key Laboratory of Urban Water Resource and Environment, School of Chemistry and Chemical Engineering, Harbin Institute of Technology, Harbin 150001, China
| | - Anil U Mane
- Applied Materials Division, Argonne National Laboratory, Lemont IL 60439, USA; Advanced Materials for Energy-Water Systems Energy Frontier Research Center, Argonne National Laboratory, Lemont IL 60439, USA; Pritzker School of Molecular Engineering, University of Chicago, Chicago IL 60637, USA
| | - Jeffrey W Elam
- Applied Materials Division, Argonne National Laboratory, Lemont IL 60439, USA; Advanced Materials for Energy-Water Systems Energy Frontier Research Center, Argonne National Laboratory, Lemont IL 60439, USA; Pritzker School of Molecular Engineering, University of Chicago, Chicago IL 60637, USA
| | - Jun Ma
- School of Environment, Harbin Institute of Technology, Harbin 150090, China
| | - Shaomin Liu
- WA School of Mines: Minerals, Energy and Chemical Engineering, Curtin University, Perth WA 6845, Australia.
| | - Seth B Darling
- Chemical Sciences and Engineering Division, Argonne National Laboratory, Lemont IL 60439, USA; Advanced Materials for Energy-Water Systems Energy Frontier Research Center, Argonne National Laboratory, Lemont IL 60439, USA; Pritzker School of Molecular Engineering, University of Chicago, Chicago IL 60637, USA.
| | - Lu Shao
- MIIT Key Laboratory of Critical Materials Technology for New Energy Conversion and Storage, State Key Laboratory of Urban Water Resource and Environment, School of Chemistry and Chemical Engineering, Harbin Institute of Technology, Harbin 150001, China.
| |
Collapse
|
35
|
Yadav AK, Ma W, Abi Younes P, Ciatto G, Gauthier N, Skopin E, Quadrelli EA, Schneider N, Renevier H. Quantitative in situ synchrotron X-ray analysis of the ALD/MLD growth of transition metal dichalcogenide TiS 2 ultrathin films. NANOSCALE 2024; 16:1853-1864. [PMID: 38167682 DOI: 10.1039/d3nr04222g] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 01/05/2024]
Abstract
We present the results of a full quantitative analysis of X-ray absorption spectroscopy (XAS) performed in situ during the growth of ultrathin titanium disulfide (TiS2) films via an innovative two-step process, i.e. atomic layer deposition/molecular layer deposition (ALD/MLD) followed by annealing. This growth strategy aims at separating the growth process from the crystallization process by first creating an amorphous Ti-thiolate that is converted later to crystalline TiS2via thermal annealing. The simultaneous analysis of Ti and S K-edge XAS spectra, exploiting the insights from density functional theory calculations, allows us to shed light on the chemical and structural mechanisms underlying the main steps of growth. The nature of the bonding at the base of the interface creation with the SiO2 substrate is disclosed in this study. Evidence of a progressive incorporation of S in the amorphous Ti-thiolate is given. Finally, it is shown that the annealing step plays a critical role since the transformation of the Ti-thiolate into nanocrystalline TiS2 and the loss of S are simultaneously induced, validating the two-step synthesis approach, which entails distinct growth and crystallization steps. These observations contribute to a deeper understanding of the bonding mechanism at the interface and provide insights for future research in this field and the generation of ultra-thin layered materials.
Collapse
Affiliation(s)
- Ashok-Kumar Yadav
- Synchrotron SOLEIL, Beamline SIRIUS, Saint-Aubin, F-91192, Gif sur Yvette, France.
| | - Weiliang Ma
- IPVF (UMR 9006), Institut Photovoltaïque d'Ile-de-France, F-91120 Palaiseau, France
| | - Petros Abi Younes
- Univ. Grenoble Alpes, CNRS, Grenoble-INP, LMGP, F-38000 Grenoble, France
- Univ. Grenoble Alpes, CEA, LETI, F-38000 Grenoble, France
| | - Gianluca Ciatto
- Synchrotron SOLEIL, Beamline SIRIUS, Saint-Aubin, F-91192, Gif sur Yvette, France.
| | | | - Evgeniy Skopin
- Univ. Grenoble Alpes, CNRS, Grenoble-INP, LMGP, F-38000 Grenoble, France
| | | | | | - Hubert Renevier
- Univ. Grenoble Alpes, CNRS, Grenoble-INP, LMGP, F-38000 Grenoble, France
| |
Collapse
|
36
|
Myint P, Woodward JM, Wang C, Zhang X, Wiegart L, Fluerasu A, Headrick RL, Eddy CR, Ludwig KF. Coherent X-ray Spectroscopy Elucidates Nanoscale Dynamics of Plasma-Enhanced Thin-Film Growth. ACS NANO 2024; 18:1982-1994. [PMID: 38194518 PMCID: PMC10811697 DOI: 10.1021/acsnano.3c07619] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 08/14/2023] [Revised: 12/07/2023] [Accepted: 12/12/2023] [Indexed: 01/11/2024]
Abstract
Sophisticated thin film growth techniques increasingly rely on the addition of a plasma component to open or widen a processing window, particularly at low temperatures. Taking advantage of continued increases in accelerator-based X-ray source brilliance, this real-time study uses X-ray Photon Correlation Spectroscopy (XPCS) to elucidate the nanoscale surface dynamics during Plasma-Enhanced Atomic Layer Deposition (PE-ALD) of an epitaxial indium nitride film. Ultrathin films are synthesized from repeated cycles of alternating self-limited surface reactions induced by temporally separated pulses of the material precursor and plasma reactant, allowing the influence of each on the evolving morphology to be examined. During the heteroepitaxial 3D growth examined here, sudden changes in the surface structure during initial film growth, consistent with numerous overlapping stress-relief events, are observed. When the film becomes continuous, the nanoscale surface morphology abruptly becomes long-lived with a correlation time spanning the period of the experiment. Throughout the growth experiment, there is a consistent repeating pattern of correlations associated with the cyclic growth process, which is modeled as transitions between different surface states. The plasma exposure does not simply freeze in a structure that is then built upon in subsequent cycles, but rather, there is considerable surface evolution during all phases of the growth cycle.
Collapse
Affiliation(s)
- Peco Myint
- X-ray
Science Division, Argonne National Laboratory, 9700 S. Cass Avenue, Lemont, Illinois 60439, United States
| | - Jeffrey M. Woodward
- Electronics
Science and Technology Division, U.S. Naval
Research Laboratory, 4555 Overlook Avenue SW, Washington, D.C. 20375, United States
| | - Chenyu Wang
- Department
of Physics, Boston University, 590 Commonwealth Avenue, Boston, Massachusetts 02215, United States
| | - Xiaozhi Zhang
- Department
of Physics and Materials Science Program, University of Vermont, 82 University Place, Burlington, Vermont 05405, United States
| | - Lutz Wiegart
- National
Synchrotron Light Source II, Brookhaven
National Laboratory, 744 Ring Road, Upton, New
York 11973, United States
| | - Andrei Fluerasu
- National
Synchrotron Light Source II, Brookhaven
National Laboratory, 744 Ring Road, Upton, New
York 11973, United States
| | - Randall L. Headrick
- Department
of Physics and Materials Science Program, University of Vermont, 82 University Place, Burlington, Vermont 05405, United States
| | - Charles R. Eddy
- Office of
Naval Research Global, 86 Blenheim Crescent, West Ruislip, Middlesex HA4 7HB, U.K.
| | - Karl F. Ludwig
- Department
of Physics and Division of Materials Science and Engineering, Boston University, 590 Commonwealth Avenue, Boston, Massachusetts 02215, United States
| |
Collapse
|
37
|
Liu G, Yang J, Wu J, Peng Z, Yao X. Inorganic Sodium Solid Electrolytes: Structure Design, Interface Engineering and Application. ADVANCED MATERIALS (DEERFIELD BEACH, FLA.) 2024:e2311475. [PMID: 38245862 DOI: 10.1002/adma.202311475] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 10/31/2023] [Revised: 01/05/2024] [Indexed: 01/22/2024]
Abstract
All-solid-state sodium batteries (ASSSBs) are particularly attractive for large-scale energy storage and electric vehicles due to their exceptional safety, abundant resource availability, and cost-effectiveness. The growing demand for ASSSBs underscores the significance of sodium solid electrolytes; However, the existed challenges of sodium solid electrolytes hinder their practical application despite continuous research efforts. Herein, recent advancements and the challenges for sodium solid electrolytes from material to battery level are reviewed. The in-depth understanding of their fundamental properties, synthesis techniques, crystal structures and recent breakthroughs is presented. Moreover, critical challenges on inorganic sodium solid electrolytes are emphasized, including the imperative need to enhance ionic conductivity, fortifying interfacial compatibility with anode/cathode materials, and addressing dendrite formation issues. Finally, potential applications of these inorganic sodium solid electrolytes are explored in ASSSBs and emerging battery systems, offering insights into future research directions.
Collapse
Affiliation(s)
- Gaozhan Liu
- Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo, 315201, P. R. China
| | - Jing Yang
- Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo, 315201, P. R. China
| | - Jinghua Wu
- Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo, 315201, P. R. China
- Center of Materials Science and Optoelectronics Engineering, University of Chinese Academy of Sciences, Beijing, 100049, P. R. China
| | - Zhe Peng
- Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo, 315201, P. R. China
- Center of Materials Science and Optoelectronics Engineering, University of Chinese Academy of Sciences, Beijing, 100049, P. R. China
| | - Xiayin Yao
- Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo, 315201, P. R. China
- Center of Materials Science and Optoelectronics Engineering, University of Chinese Academy of Sciences, Beijing, 100049, P. R. China
| |
Collapse
|
38
|
Leimkuhl DP, Donley CL, Jackson MN. Controlling Nucleation Sites for Metal Oxide Film Growth on Glassy Carbon via Electrochemical Preoxidation. ACS APPLIED MATERIALS & INTERFACES 2024; 16:2868-2876. [PMID: 38179989 DOI: 10.1021/acsami.3c13417] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 01/06/2024]
Abstract
Coating electrode materials with metal oxide thin films can improve the performance of electrocatalysts and charge storage materials. Atomic layer deposition (ALD) enables the deposition of conformal, uniform films on a wide range of electrodes; however, an even film depends on the availability of nucleation sites directly on the electrode surface. Here, we show that the electrochemical oxidation of glassy carbon electrodes prior to the deposition of alumina thin films by ALD leads to more uniform electrochemically passivating films. Cyclic voltammetry (CV), X-ray photoelectron spectroscopy (XPS), and scanning electron microscopy (SEM) demonstrate that film uniformity increases with the increasing potential of preoxidation until 2.50 V versus Ag/AgCl, at which point the films are fully passivating and appear continuous by SEM. Further increasing the potential of preoxidation leads to uniform but less consistently passivating alumina films. These findings show that electrochemical preoxidation is a rapid and readily tunable strategy for controlling oxygenic nucleation sites and therefore the growth of thin metal oxide films on glassy carbon electrodes.
Collapse
Affiliation(s)
- Devon P Leimkuhl
- Department of Chemistry, University of North Carolina at Chapel Hill, Chapel Hill, North Carolina 27599, United States
| | - Carrie L Donley
- Department of Chemistry, University of North Carolina at Chapel Hill, Chapel Hill, North Carolina 27599, United States
| | - Megan N Jackson
- Department of Chemistry, University of North Carolina at Chapel Hill, Chapel Hill, North Carolina 27599, United States
| |
Collapse
|
39
|
Nguyen JA, Becker A, Kanhaiya K, Heinz H, Weimer AW. Analyzing the Li-Al-O Interphase of Atomic Layer-Deposited Al 2O 3 Films on Layered Oxide Cathodes Using Atomistic Simulations. ACS APPLIED MATERIALS & INTERFACES 2024; 16:1861-1875. [PMID: 38124667 DOI: 10.1021/acsami.3c15080] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 12/23/2023]
Abstract
Alumina surface coatings are commonly applied to layered oxide cathode particles for lithium-ion battery applications. Atomic layer deposition (ALD) is one such surface coating technique, and ultrathin alumina ALD films (<2 nm) are shown to improve the electrochemical performance of LiNixMnyCo1-x-yO2 materials, with groups hypothesizing that a beneficial Li-Al-O product is being formed during the alumina ALD process. However, the atomic structure of these films is still not well understood, and quantifying the interface of ultrathin (∼1 nm) ALD films is an arduous experimental task. Here, we perform molecular dynamics simulations of amorphous alumina films of varying thickness in contact with the (0001) LiCoO2 (LCO) surface to quantify the film nanostructure. We calculate elemental mass density profiles through the films and observe that the Li-Al-O interphase extends ∼2 nm from the LCO surface. Additionally, we observe layering of Al and O atoms at the LCO-film interface that extends for ∼1.5 nm. To access the short-range order of the amorphous film, we calculated the Al coordination numbers through the film. We find that while [4]Al is the prevailing coordination environment, significant amounts of [6]Al exist at the interface between the LiCoO2 surface and the film. Taken together, these principal findings point to a pseudomorphic Li-Al-O overlayer that approximates the underlying layered LiCoO2 lattice but does not exactly replicate it. Additionally, with sufficient thickness, the Li-Al-O film transitions to an amorphous alumina structure. We anticipate that our findings on the ALD-like, Li-Al-O film nanostructure can be applied to other layered LiNixMnyCo1-x-yO2 materials because of their shared crystal structure with LiCoO2. This work provides insight into the nanostructure of amorphous ALD alumina films to help inform their use as protective coatings for Li-ion battery cathode active materials.
Collapse
Affiliation(s)
- Julie A Nguyen
- Department of Chemical and Biological Engineering, University of Colorado Boulder, Boulder, Colorado 80309, United States
| | - Abigayle Becker
- Department of Chemical and Biological Engineering, University of Colorado Boulder, Boulder, Colorado 80309, United States
| | - Krishan Kanhaiya
- Department of Chemical and Biological Engineering, University of Colorado Boulder, Boulder, Colorado 80309, United States
| | - Hendrik Heinz
- Department of Chemical and Biological Engineering, University of Colorado Boulder, Boulder, Colorado 80309, United States
| | - Alan W Weimer
- Department of Chemical and Biological Engineering, University of Colorado Boulder, Boulder, Colorado 80309, United States
| |
Collapse
|
40
|
Ma D, Wei X, Li J, Cao Z. Enhancing CO 2 Hydrogenation Using a Heterogeneous Bimetal NiAl-Deposited Metal-Organic Framework NU-1000: Insights from First-Principles Calculations. Inorg Chem 2024; 63:915-922. [PMID: 38152032 DOI: 10.1021/acs.inorgchem.3c04215] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 12/29/2023]
Abstract
The hydrogenation of CO2 to high-value-added liquid fuels is crucial for greenhouse gas emission reduction and optimal utilization of carbon resources. Developing supported heterogeneous catalysts is a key strategy in this context, as they offer well-defined active sites for in-depth mechanistic studies and improved catalyst design. Here, we conducted extensive first-principles calculations to systematically explore the reaction mechanisms for CO2 hydrogenation on a heterogeneous bimetal NiAl-deposited metal-organic framework (MOF) NU-1000 and its catalytic performance as atomically dispersed catalysts for CO2 hydrogenation to formic acid (HCOOH), formaldehyde (H2CO), and methanol (CH3OH). The present results reveal that the presence of the NiAl-oxo cluster deposited on NU-1000 efficiently activates H2, and the facile heterolysis of H2 on Ni and adjacent O sites serves as a precursor to the hydrogenation of CO2 into various C1 products HCOOH, H2CO, and CH3OH. Generally, H2 activation is the rate-determining step in the entire CO2 hydrogenation process, the corresponding relatively low free energy barriers range from 14.5 to 15.9 kcal/mol, and the desorption of products on NiAl-deposited NU-1000 is relatively facile. Although the Al atom does not directly participate in the reaction, its presence provides exposed oxygen sites that facilitate the heterolytic cleavage of H2 and the hydrogenation of C1 intermediates, which plays an important role in enhancing the catalytic activity of the Ni site. The present study demonstrates that the catalytic performance of NU-1000 can be finely tuned by depositing heterometal-oxo clusters, and the porous MOF should be an attractive platform for the construction of atomically dispersed catalysts.
Collapse
Affiliation(s)
- Denghui Ma
- School of New Energy, Ningbo University of Technology, Ningbo 315336, P. R. China
- State Key Laboratory of Physical Chemistry of Solid Surfaces and Fujian Provincial Key Laboratory of Theoretical and Computational Chemistry, College of Chemistry and Chemical Engineering, Xiamen University, Xiamen 360015, P. R. China
| | - Xin Wei
- School of New Energy, Ningbo University of Technology, Ningbo 315336, P. R. China
| | - Jianming Li
- School of New Energy, Ningbo University of Technology, Ningbo 315336, P. R. China
| | - Zexing Cao
- State Key Laboratory of Physical Chemistry of Solid Surfaces and Fujian Provincial Key Laboratory of Theoretical and Computational Chemistry, College of Chemistry and Chemical Engineering, Xiamen University, Xiamen 360015, P. R. China
| |
Collapse
|
41
|
Chou BJ, Chung YY, Yun WS, Hsu CF, Li MY, Su SK, Liew SL, Hou VDH, Chen CW, Kei CC, Shen YY, Chang WH, Lee TY, Cheng CC, Radu IP, Chien CH. High-performance monolayer MoS 2nanosheet GAA transistor. NANOTECHNOLOGY 2024; 35:125204. [PMID: 38061057 DOI: 10.1088/1361-6528/ad134b] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 09/11/2023] [Accepted: 12/07/2023] [Indexed: 01/05/2024]
Abstract
In this article, a 0.7 nm thick monolayer MoS2nanosheet gate-all-around field effect transistors (NS-GAAFETs) with conformal high-κmetal gate deposition are demonstrated. The device with 40 nm channel length exhibits a high on-state current density of ~410μAμm-1with a large on/off ratio of 6 × 108at drain voltage = 1 V. The extracted contact resistance is 0.48 ± 0.1 kΩμm in monolayer MoS2NS-GAAFETs, thereby showing the channel-dominated performance with the channel length scaling from 80 to 40 nm. The successful demonstration of device performance in this work verifies the integration potential of transition metal dichalcogenides for future logic transistor applications.
Collapse
Affiliation(s)
- Bo-Jhih Chou
- Institute of Electronics, National Yang Ming Chiao Tung University, Hsinchu, Taiwan
| | - Yun-Yan Chung
- Taiwan Semiconductor Manufacturing Company, Hsinchu, Taiwan
| | - Wei-Sheng Yun
- Taiwan Semiconductor Manufacturing Company, Hsinchu, Taiwan
| | - Chen-Feng Hsu
- Taiwan Semiconductor Manufacturing Company, Hsinchu, Taiwan
| | - Ming-Yang Li
- Taiwan Semiconductor Manufacturing Company, Hsinchu, Taiwan
| | - Sheng-Kai Su
- Taiwan Semiconductor Manufacturing Company, Hsinchu, Taiwan
| | - San-Lin Liew
- Taiwan Semiconductor Manufacturing Company, Hsinchu, Taiwan
| | | | - Chien-Wei Chen
- Taiwan Instrument Research Institute, National Applied Research Laboratories, Hsinchu, Taiwan
| | - Chi-Chung Kei
- Taiwan Instrument Research Institute, National Applied Research Laboratories, Hsinchu, Taiwan
| | - Yun-Yang Shen
- Department of Electrophysics, National Yang Ming Chiao Tung University, Hsinchu, Taiwan
| | - Wen-Hao Chang
- Department of Electrophysics, National Yang Ming Chiao Tung University, Hsinchu, Taiwan
| | - T Y Lee
- Taiwan Semiconductor Manufacturing Company, Hsinchu, Taiwan
| | | | - Iuliana P Radu
- Taiwan Semiconductor Manufacturing Company, Hsinchu, Taiwan
| | - Chao-Hsin Chien
- Institute of Electronics, National Yang Ming Chiao Tung University, Hsinchu, Taiwan
| |
Collapse
|
42
|
Ansari MZ, Hussain I, Mohapatra D, Ansari SA, Rahighi R, Nandi DK, Song W, Kim S. Atomic Layer Deposition-A Versatile Toolbox for Designing/Engineering Electrodes for Advanced Supercapacitors. ADVANCED SCIENCE (WEINHEIM, BADEN-WURTTEMBERG, GERMANY) 2024; 11:e2303055. [PMID: 37937382 PMCID: PMC10767429 DOI: 10.1002/advs.202303055] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 05/12/2023] [Revised: 09/07/2023] [Indexed: 11/09/2023]
Abstract
Atomic layer deposition (ALD) has become the most widely used thin-film deposition technique in various fields due to its unique advantages, such as self-terminating growth, precise thickness control, and excellent deposition quality. In the energy storage domain, ALD has shown great potential for supercapacitors (SCs) by enabling the construction and surface engineering of novel electrode materials. This review aims to present a comprehensive outlook on the development, achievements, and design of advanced electrodes involving the application of ALD for realizing high-performance SCs to date, as organized in several sections of this paper. Specifically, this review focuses on understanding the influence of ALD parameters on the electrochemical performance and discusses the ALD of nanostructured electrochemically active electrode materials on various templates for SCs. It examines the influence of ALD parameters on electrochemical performance and highlights ALD's role in passivating electrodes and creating 3D nanoarchitectures. The relationship between synthesis procedures and SC properties is analyzed to guide future research in preparing materials for various applications. Finally, it is concluded by suggesting the directions and scope of future research and development to further leverage the unique advantages of ALD for fabricating new materials and harness the unexplored opportunities in the fabrication of advanced-generation SCs.
Collapse
Affiliation(s)
- Mohd Zahid Ansari
- School of Materials Science and EngineeringYeungnam University280 Daehak‐RoGyeongsanGyeongbuk38541Republic of Korea
| | - Iftikhar Hussain
- Department of Mechanical EngineeringCity University of Hong Kong83 Tat Chee AvenueKowoonHong Kong
| | - Debananda Mohapatra
- Graduate School of Semiconductor Materials and Devices EngineeringUlsan National Institute of Science & Technology (UNIST)50 UNIST‐gilUlju‐gunUlsan44919Republic of Korea
| | - Sajid Ali Ansari
- Department of PhysicsCollege of ScienceKing Faisal UniversityP.O. Box 400HofufAl‐Ahsa31982Saudi Arabia
| | - Reza Rahighi
- SKKU Advanced Institute of Nano‐Technology (SAINT)Sungkyunkwan University2066 Seobu‐ro, Jangan‐guSuwonGyeonggi‐do16419Republic of Korea
| | - Dip K Nandi
- Plessey Semiconductors LtdTamerton Road RoboroughPlymouthDevonPL6 7BQUK
| | - Wooseok Song
- Thin Film Materials Research CenterKorea Research Institute of Chemical TechnologyDaejeon34114Republic of Korea
| | - Soo‐Hyun Kim
- Graduate School of Semiconductor Materials and Devices EngineeringUlsan National Institute of Science & Technology (UNIST)50 UNIST‐gilUlju‐gunUlsan44919Republic of Korea
- Department of Materials Science and EngineeringUlsan National Institute of Science & Technology (UNIST)50 UNIST‐gilUlju‐gunUlsan44919Republic of Korea
| |
Collapse
|
43
|
So S, Yun J, Ko B, Lee D, Kim M, Noh J, Park C, Park J, Rho J. Radiative Cooling for Energy Sustainability: From Fundamentals to Fabrication Methods Toward Commercialization. ADVANCED SCIENCE (WEINHEIM, BADEN-WURTTEMBERG, GERMANY) 2024; 11:e2305067. [PMID: 37949679 PMCID: PMC10787071 DOI: 10.1002/advs.202305067] [Citation(s) in RCA: 5] [Impact Index Per Article: 5.0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 07/24/2023] [Revised: 09/18/2023] [Indexed: 11/12/2023]
Abstract
Radiative cooling, a technology that lowers the temperature of terrestrial objects by dissipating heat into outer space, presents a promising ecologically-benign solution for sustainable cooling. Recent years witness substantial progress in radiative cooling technologies, bringing them closer to commercialization. This comprehensive review provides a structured overview of radiative cooling technologies, encompassing essential principles, fabrication techniques, and practical applications, with the goal of guiding researchers toward successful commercialization. The review begins by introducing the fundamentals of radiative cooling and the associated design strategies to achieve it. Then, various fabrication methods utilized for the realization of radiative cooling devices are thoroughly discussed. This discussion includes detailed assessments of scalability, fabrication costs, and performance considerations, encompassing both structural designs and fabrication techniques. Building upon these insights, potential fabrication approaches suitable for practical applications and commercialization are proposed. Further, the recent efforts made toward the practical applications of radiative cooling technology, including its visual appearance, switching capability, and compatibility are examined. By encompassing a broad range of topics, from fundamental principles to fabrication and applications, this review aims to bridge the gap between theoretical research and real-world implementation, fostering the advancement and widespread adoption of radiative cooling technology.
Collapse
Affiliation(s)
- Sunae So
- Graduate School of Artificial Intelligence, Pohang University of Science and Technology (POSTECH), Pohang, 37673, Republic of Korea
- Department of Mechanical Engineering, Pohang University of Science and Technology (POSTECH), Pohang, 37673, Republic of Korea
- Department of Electro-Mechanical Systems Engineering, Korea University, Sejong, 30019, Republic of Korea
| | - Jooyeong Yun
- Department of Mechanical Engineering, Pohang University of Science and Technology (POSTECH), Pohang, 37673, Republic of Korea
| | - Byoungsu Ko
- Department of Mechanical Engineering, Pohang University of Science and Technology (POSTECH), Pohang, 37673, Republic of Korea
| | - Dasol Lee
- Department of Mechanical Engineering, Pohang University of Science and Technology (POSTECH), Pohang, 37673, Republic of Korea
- Department of Biomedical Engineering, Yonsei University, Wonju, 26493, Republic of Korea
| | - Minkyung Kim
- Department of Mechanical Engineering, Pohang University of Science and Technology (POSTECH), Pohang, 37673, Republic of Korea
- School of Mechanical Engineering, Gwangju Institute of Science and Technology (GIST), Gwangju, 61005, Republic of Korea
| | - Jaebum Noh
- Department of Mechanical Engineering, Pohang University of Science and Technology (POSTECH), Pohang, 37673, Republic of Korea
| | - Cherry Park
- Department of Mechanical Engineering, Pohang University of Science and Technology (POSTECH), Pohang, 37673, Republic of Korea
| | - Junkyeong Park
- Department of Mechanical Engineering, Pohang University of Science and Technology (POSTECH), Pohang, 37673, Republic of Korea
| | - Junsuk Rho
- Department of Mechanical Engineering, Pohang University of Science and Technology (POSTECH), Pohang, 37673, Republic of Korea
- Department of Chemical Engineering, Pohang University of Science and Technology (POSTECH), Pohang, 37673, Republic of Korea
- POSCO-POSTECH-RIST Convergence Research Center for Flat Optics and Metaphotonics, Pohang, 37673, Republic of Korea
| |
Collapse
|
44
|
Ngoc Van TT, Kim C, Lee H, Kim J, Shong B. Machine learning-based exploration of molecular design descriptors for area-selective atomic layer deposition (AS-ALD) precursors. J Mol Model 2023; 30:10. [PMID: 38093140 DOI: 10.1007/s00894-023-05806-y] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Received: 10/09/2023] [Accepted: 12/07/2023] [Indexed: 01/11/2024]
Abstract
CONTEXT Area-selective atomic layer deposition (AS-ALD) is a thin film deposition technique developed using conventional ALD by considering the surface chemical nature of the substrate. Selecting appropriate precursors is a critical step in developing an efficient AS-ALD process with high deposition selectivity. However, the current efficiency of research on viable AS-ALD precursors is limited because of the absence of theoretical design rules for precursor chemical structures. In this study, our objective is to propose molecular design principle for precursors for AS-ALD, particularly focusing on achieving high deposition selectivity of oxides on diverse substrates. Current preliminary results suggest that ML-based prediction model may provide a fundamental molecular-level understanding of the reactivity of metal oxide precursors, that can be useful for efficient selection of suitable precursors for AS-ALD. METHODS We employ density functional theory (DFT) calculations and machine learning (ML) techniques to analyze the relationship between the structure and the surface reactivity of the precursor. Considering DFT calculation data (M06L/def2-tzvp, Gaussian 09 and Orca 4.0) and information on precursor structures, artificial neural networks (ANN, neuralnet, R) are applied to identify critical descriptors of the AS-ALD process. Furthermore, we utilize this ANN model to predict precursor reactivity according to surface terminations.
Collapse
Affiliation(s)
| | - Changsu Kim
- Chemical Engineering, Sungkyunkwan University, Suwon, 16419, South Korea
| | - Hojae Lee
- Chemical Engineering, Hongik University, Seoul, 04066, South Korea
| | - Jiyong Kim
- Chemical Engineering, Sungkyunkwan University, Suwon, 16419, South Korea.
| | - Bonggeun Shong
- Chemical Engineering, Hongik University, Seoul, 04066, South Korea.
| |
Collapse
|
45
|
Raffaelle P, Wang GT, Shestopalov AA. Vapor-Phase Halogenation of Hydrogen-Terminated Silicon(100) Using N-Halogen-succinimides. ACS APPLIED MATERIALS & INTERFACES 2023; 15:55139-55149. [PMID: 37965814 PMCID: PMC10694808 DOI: 10.1021/acsami.3c13269] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 09/08/2023] [Revised: 10/26/2023] [Accepted: 10/30/2023] [Indexed: 11/16/2023]
Abstract
The focus of this study was to demonstrate the vapor-phase halogenation of Si(100) and subsequently evaluate the inhibiting ability of the halogenated surfaces toward atomic layer deposition (ALD) of aluminum oxide (Al2O3). Hydrogen-terminated silicon ⟨100⟩ (H-Si(100)) was halogenated using N-chlorosuccinimide (NCS), N-bromosuccinimide (NBS), and N-iodosuccinimide (NIS) in a vacuum-based chemical process. The composition and physical properties of the prepared monolayers were analyzed by using X-ray photoelectron spectroscopy (XPS) and contact angle (CA) goniometry. These measurements confirmed that all three reagents were more effective in halogenating H-Si(100) over OH-Si(100) in the vapor phase. The stability of the modified surfaces in air was also tested, with the chlorinated surface showing the greatest resistance to monolayer degradation and silicon oxide (SiO2) generation within the first 24 h of exposure to air. XPS and atomic force microscopy (AFM) measurements showed that the succinimide-derived Hal-Si(100) surfaces exhibited blocking ability superior to that of H-Si(100), a commonly used ALD resist. This halogenation method provides a dry chemistry alternative for creating halogen-based ALD resists on Si(100) in near-ambient environments.
Collapse
Affiliation(s)
- Patrick
R. Raffaelle
- Department
of Chemical Engineering, Hajim School of Engineering and Applied Sciences, University of Rochester, Rochester, New York 14627, United States
| | - George T. Wang
- Sandia
National Laboratories, Albuquerque, New Mexico 87185, United States
| | - Alexander A. Shestopalov
- Department
of Chemical Engineering, Hajim School of Engineering and Applied Sciences, University of Rochester, Rochester, New York 14627, United States
| |
Collapse
|
46
|
Nazarov D, Kozlova L, Rogacheva E, Kraeva L, Maximov M. Atomic Layer Deposition of Antibacterial Nanocoatings: A Review. Antibiotics (Basel) 2023; 12:1656. [PMID: 38136691 PMCID: PMC10740478 DOI: 10.3390/antibiotics12121656] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Received: 10/18/2023] [Revised: 11/15/2023] [Accepted: 11/21/2023] [Indexed: 12/24/2023] Open
Abstract
In recent years, antibacterial coatings have become an important approach in the global fight against bacterial pathogens. Developments in materials science, chemistry, and biochemistry have led to a plethora of materials and chemical compounds that have the potential to create antibacterial coatings. However, insufficient attention has been paid to the analysis of the techniques and technologies used to apply these coatings. Among the various inorganic coating techniques, atomic layer deposition (ALD) is worthy of note. It enables the successful synthesis of high-purity inorganic nanocoatings on surfaces of complex shape and topography, while also providing precise control over their thickness and composition. ALD has various industrial applications, but its practical application in medicine is still limited. In recent years, a considerable number of papers have been published on the proposed use of thin films and coatings produced via ALD in medicine, notably those with antibacterial properties. The aim of this paper is to carefully evaluate and analyze the relevant literature on this topic. Simple oxide coatings, including TiO2, ZnO, Fe2O3, MgO, and ZrO2, were examined, as well as coatings containing metal nanoparticles such as Ag, Cu, Pt, and Au, and mixed systems such as TiO2-ZnO, TiO2-ZrO2, ZnO-Al2O3, TiO2-Ag, and ZnO-Ag. Through comparative analysis, we have been able to draw conclusions on the effectiveness of various antibacterial coatings of different compositions, including key characteristics such as thickness, morphology, and crystal structure. The use of ALD in the development of antibacterial coatings for various applications was analyzed. Furthermore, assumptions were made about the most promising areas of development. The final section provides a comparison of different coatings, as well as the advantages, disadvantages, and prospects of using ALD for the industrial production of antibacterial coatings.
Collapse
Affiliation(s)
- Denis Nazarov
- Peter the Great Saint Petersburg Polytechnic University, Polytechnicheskaya, 29, 195221 Saint Petersburg, Russia;
- Saint Petersburg State University, Universitetskaya Nab, 7/9, 199034 Saint Petersburg, Russia;
| | - Lada Kozlova
- Saint Petersburg State University, Universitetskaya Nab, 7/9, 199034 Saint Petersburg, Russia;
| | - Elizaveta Rogacheva
- Saint-Petersburg Pasteur Institute of Epidemiology and Microbiology, 14 Mira Street, 197101 Saint Petersburg, Russia; (E.R.); (L.K.)
| | - Ludmila Kraeva
- Saint-Petersburg Pasteur Institute of Epidemiology and Microbiology, 14 Mira Street, 197101 Saint Petersburg, Russia; (E.R.); (L.K.)
| | - Maxim Maximov
- Peter the Great Saint Petersburg Polytechnic University, Polytechnicheskaya, 29, 195221 Saint Petersburg, Russia;
| |
Collapse
|
47
|
Haghverdi Khamene S, van Helvoirt C, Tsampas MN, Creatore M. Electrochemical Activation of Atomic-Layer-Deposited Nickel Oxide for Water Oxidation. THE JOURNAL OF PHYSICAL CHEMISTRY. C, NANOMATERIALS AND INTERFACES 2023; 127:22570-22582. [PMID: 38037639 PMCID: PMC10683065 DOI: 10.1021/acs.jpcc.3c05002] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Grants] [Track Full Text] [Figures] [Subscribe] [Scholar Register] [Received: 07/25/2023] [Revised: 10/26/2023] [Accepted: 10/29/2023] [Indexed: 12/02/2023]
Abstract
NiO-based electrocatalysts, known for their high activity, stability, and low cost in alkaline media, are recognized as promising candidates for the oxygen evolution reaction (OER). In parallel, atomic layer deposition (ALD) is actively researched for its ability to provide precise control over the synthesis of ultrathin electrocatalytic films, including film thickness, conformality, and chemical composition. This study examines how NiO bulk and surface properties affect the electrocatalytic performance for the OER while focusing on the prolonged electrochemical activation process. Two ALD methods, namely, plasma-assisted and thermal ALD, are employed as tools to deposit NiO films. Cyclic voltammetry analysis of ∼10 nm films in 1.0 M KOH solution reveals a multistep electrochemical activation process accompanied by phase transformation and delamination of activated nanostructures. The plasma-assisted ALD NiO film exhibits three times higher current density at 1.8 V vs RHE than its thermal ALD counterpart due to enhanced β-NiOOH formation during activation, thereby improving the OER activity. Additionally, the rougher surface formed during activation enhanced the overall catalytic activity of the films. The goal is to unravel the relationship between material properties and the performance of the resulting OER, specifically focusing on how the design of the material by ALD can lead to the enhancement of its electrocatalytic performance.
Collapse
Affiliation(s)
- Sina Haghverdi Khamene
- Department
of Applied Physics and Science Education, Eindhoven University of Technology, Eindhoven 5600 MB, The Netherlands
- DIFFER—Dutch
Institute For Fundamental Energy Research, Eindhoven 5612 AJ, The Netherlands
| | - Cristian van Helvoirt
- Department
of Applied Physics and Science Education, Eindhoven University of Technology, Eindhoven 5600 MB, The Netherlands
| | - Mihalis N. Tsampas
- DIFFER—Dutch
Institute For Fundamental Energy Research, Eindhoven 5612 AJ, The Netherlands
| | - Mariadriana Creatore
- Department
of Applied Physics and Science Education, Eindhoven University of Technology, Eindhoven 5600 MB, The Netherlands
- Eindhoven
Institute for Renewable Energy Systems (EIRES), Eindhoven 5600 MB, The Netherlands
| |
Collapse
|
48
|
Lenef JD, Lee SY, Fuelling KM, Rivera Cruz KE, Prajapati A, Delgado Cornejo DO, Cho TH, Sun K, Alvarado E, Arthur TS, Roberts CA, Hahn C, McCrory CCL, Dasgupta NP. Atomic Layer Deposition of Cu Electrocatalysts on Gas Diffusion Electrodes for CO 2 Reduction. NANO LETTERS 2023. [PMID: 37987745 DOI: 10.1021/acs.nanolett.3c02917] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 11/22/2023]
Abstract
Electrochemical reduction of CO2 using Cu catalysts enables the synthesis of C2+ products including C2H4 and C2H5OH. In this study, Cu catalysts were fabricated using plasma-enhanced atomic layer deposition (PEALD), achieving conformal deposition of catalysts throughout 3-D gas diffusion electrode (GDE) substrates while maintaining tunable control of Cu nanoparticle size and areal loading. The electrochemical CO2 reduction at the Cu surface yielded a total Faradaic efficiency (FE) > 75% for C2+ products. Parasitic hydrogen evolution was minimized to a FE of ∼10%, and a selectivity of 42.2% FE for C2H4 was demonstrated. Compared to a line-of-sight physical vapor deposition method, PEALD Cu catalysts show significant suppression of C1 products compared to C2+, which is associated with improved control of catalyst morphology and conformality within the porous GDE substrate. Finally, PEALD Cu catalysts demonstrated a stable performance for 15 h with minimal reduction in the C2H4 production rate.
Collapse
Affiliation(s)
- Julia D Lenef
- Department of Materials Science and Engineering, University of Michigan, Ann Arbor, Michigan 48109, United States
| | - Si Young Lee
- Department of Mechanical Engineering, University of Michigan, Ann Arbor, Michigan 48109, United States
| | - Kalyn M Fuelling
- Department of Materials Science and Engineering, University of Michigan, Ann Arbor, Michigan 48109, United States
| | - Kevin E Rivera Cruz
- Department of Chemistry, University of Michigan, Ann Arbor, Michigan 48109, United States
| | - Aditya Prajapati
- Materials Science Division (MSD), Lawrence Livermore National Laboratory, Livermore, California 94550, United States
| | - Daniel O Delgado Cornejo
- Department of Materials Science and Engineering, University of Michigan, Ann Arbor, Michigan 48109, United States
| | - Tae H Cho
- Department of Mechanical Engineering, University of Michigan, Ann Arbor, Michigan 48109, United States
| | - Kai Sun
- Department of Materials Science and Engineering, University of Michigan, Ann Arbor, Michigan 48109, United States
| | - Eugenio Alvarado
- Department of Chemistry, University of Michigan, Ann Arbor, Michigan 48109, United States
| | - Timothy S Arthur
- Toyota Research Institute of North America, Ann Arbor, Michigan 48105, United States
| | - Charles A Roberts
- Toyota Research Institute of North America, Ann Arbor, Michigan 48105, United States
| | - Christopher Hahn
- Materials Science Division (MSD), Lawrence Livermore National Laboratory, Livermore, California 94550, United States
| | - Charles C L McCrory
- Department of Chemistry, University of Michigan, Ann Arbor, Michigan 48109, United States
- Macromolecular Science and Engineering Program, University of Michigan, Ann Arbor, Michigan 48109, United States
| | - Neil P Dasgupta
- Department of Materials Science and Engineering, University of Michigan, Ann Arbor, Michigan 48109, United States
- Department of Mechanical Engineering, University of Michigan, Ann Arbor, Michigan 48109, United States
| |
Collapse
|
49
|
Ballai G, Kotnik T, Finšgar M, Pintar A, Kónya Z, Sápi A, Kovačič S. Highly Porous Polymer Beads Coated with Nanometer-Thick Metal Oxide Films for Photocatalytic Oxidation of Bisphenol A. ACS APPLIED NANO MATERIALS 2023; 6:20089-20098. [PMID: 38026613 PMCID: PMC10653210 DOI: 10.1021/acsanm.3c03891] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Figures] [Subscribe] [Scholar Register] [Received: 08/20/2023] [Revised: 10/06/2023] [Accepted: 10/09/2023] [Indexed: 12/01/2023]
Abstract
Highly porous metal oxide-polymer nanocomposites are attracting considerable interest due to their unique structural and functional features. A porous polymer matrix brings properties such as high porosity and permeability, while the metal oxide phase adds functionality. For the metal oxide phase to perform its function, it must be fully accessible, and this is possible only at the pore surface, but functioning surfaces require controlled engineering, which remains a challenge. Here, highly porous nanocomposite beads based on thin metal oxide nanocoatings and polymerized high internal phase emulsions (polyHIPEs) are demonstrated. By leveraging the unique properties of polyHIPEs, i.e., a three-dimensional (3D) interconnected network of macropores, and high-precision of the atomic-layer-deposition technique (ALD), we were able to homogeneously coat the entire surface of the pores in polyHIPE beads with TiO2-, ZnO-, and Al2O3-based nanocoatings. Parameters such as nanocoating thickness, growth per cycle (GPC), and metal oxide (MO) composition were systematically controlled by varying the number of deposition cycles and dosing time under specific process conditions. The combination of polyHIPE structure and ALD technique proved advantageous, as MO-nanocoatings with thicknesses between 11 ± 3 and 40 ± 9 nm for TiO2 or 31 ± 6 and 74 ± 28 nm for ZnO and Al2O3, respectively, were successfully fabricated. It has been shown that the number of ALD cycles affects both the thickness and crystallinity of the MO nanocoatings. Finally, the potential of ALD-derived TiO2-polyHIPE beads in photocatalytic oxidation of an aqueous bisphenol A (BPA) solution was demonstrated. The beads exhibited about five times higher activity than nanocomposite beads prepared by the conventional (Pickering) method. Such ALD-derived polyHIPE nanocomposites could find wide application in nanotechnology, sensor development, or catalysis.
Collapse
Affiliation(s)
- Gergő Ballai
- Interdisciplinary
Excellence Centre, Department of Applied and Environmental Chemistry, University of Szeged, Rerrich Béla tér 1, H-6720 Szeged, Hungary
| | - Tomaž Kotnik
- Department
of Inorganic Chemistry and Technology, National
Institute of Chemistry, Hajdrihova 19, SI-1001 Ljubljana, Slovenia
- Faculty
of Chemistry and Chemical Technology, University
of Ljubljana, Večna
Pot 113, 1000 Ljubljana, Slovenia
| | - Matjaž Finšgar
- University
of Maribor, Faculty of Chemistry and Chemical Engineering, Smetanova 17, SI-2000 Maribor, Slovenia
| | - Albin Pintar
- Department
of Inorganic Chemistry and Technology, National
Institute of Chemistry, Hajdrihova 19, SI-1001 Ljubljana, Slovenia
| | - Zoltán Kónya
- Interdisciplinary
Excellence Centre, Department of Applied and Environmental Chemistry, University of Szeged, Rerrich Béla tér 1, H-6720 Szeged, Hungary
- MTA-SZTE
Reaction Kinetics and Surface Chemistry Research Group, Rerrich Béla tér 1, H-6720 Szeged, Hungary
| | - András Sápi
- Interdisciplinary
Excellence Centre, Department of Applied and Environmental Chemistry, University of Szeged, Rerrich Béla tér 1, H-6720 Szeged, Hungary
| | - Sebastijan Kovačič
- Department
of Inorganic Chemistry and Technology, National
Institute of Chemistry, Hajdrihova 19, SI-1001 Ljubljana, Slovenia
| |
Collapse
|
50
|
Tuff WJ, Hughes RA, Nieukirk BD, Ciambriello L, Neal RD, Golze SD, Gavioli L, Neretina S. Periodic arrays of structurally complex oxide nanoshells and their use as substrate-confined nanoreactors. NANOSCALE 2023; 15:17609-17620. [PMID: 37876284 DOI: 10.1039/d3nr04345b] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 10/26/2023]
Abstract
Sacrificial templates present an effective pathway for gaining high-level control over nanoscale reaction products. Atomic layer deposition (ALD) is ideally suited for such approaches due to its ability to replicate the surface topography of a template material through the deposition of an ultrathin conformal layer. Herein, metal nanostructures are demonstrated as sacrificial templates for the formation of architecturally complex and deterministically positioned oxide nanoshells, open-topped nanobowls, vertically standing half-shells, and nanorings. The three-step process sees metal nanocrystals formed in periodic arrays, coated with an ALD-deposited oxide, and hollowed out with a selective etch through nanopores formed in the oxide shell. The procedure is further augmented through the use of a directional ion beam that is used to sculpt the oxide shells into bowl- and ring-like configurations. The functionality of the so-formed materials is demonstrated through their use as substrate-confined nanoreactors able to promote the growth and confinement of nanomaterials. Taken together, the work expands the design space for substrate-based nanomaterials, creates a platform for advancing functional surfaces and devices and, from a broader perspective, advances the use of ALD in forming complex nanomaterials.
Collapse
Affiliation(s)
- Walker J Tuff
- College of Engineering, University of Notre Dame, Notre Dame, Indiana 46556, Unites States.
| | - Robert A Hughes
- College of Engineering, University of Notre Dame, Notre Dame, Indiana 46556, Unites States.
| | - Brendan D Nieukirk
- Department of Chemistry and Biochemistry, University of Notre Dame, Notre Dame, Indiana 46556, USA
| | - Luca Ciambriello
- College of Engineering, University of Notre Dame, Notre Dame, Indiana 46556, Unites States.
- Interdisciplinary Laboratories for Advanced Materials Physics (i-LAMP), Dipartimento di Matematica e Fisica, Università Cattolica del Sacro Cuore, 25133 Brescia, Italy
| | - Robert D Neal
- College of Engineering, University of Notre Dame, Notre Dame, Indiana 46556, Unites States.
| | - Spencer D Golze
- College of Engineering, University of Notre Dame, Notre Dame, Indiana 46556, Unites States.
| | - Luca Gavioli
- Interdisciplinary Laboratories for Advanced Materials Physics (i-LAMP), Dipartimento di Matematica e Fisica, Università Cattolica del Sacro Cuore, 25133 Brescia, Italy
| | - Svetlana Neretina
- College of Engineering, University of Notre Dame, Notre Dame, Indiana 46556, Unites States.
- Department of Chemistry and Biochemistry, University of Notre Dame, Notre Dame, Indiana 46556, USA
| |
Collapse
|