1
|
Aishwarya K, Lakshmi B. TCAD simulation study of heavy ion radiation effects on hetero junctionless tunnel field effect transistor. Sci Rep 2024; 14:7643. [PMID: 38561390 PMCID: PMC10985094 DOI: 10.1038/s41598-024-58371-6] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Received: 11/08/2023] [Accepted: 03/28/2024] [Indexed: 04/04/2024] Open
Abstract
Semiconductor devices used in radiation environment are more prone to degradation in device performance. Junctionless Tunnel Field Effect Transistor (JLTFET) is one of the most potential candidates which overcomes the short channel effects and fabrication difficulties. In this work, 20 nm JLTFET is proposed with Silicon in the drain/channel region whereas source uses different materials, Silicon Germanium (SiGe), Gallium Nitride (GaN), Gallium Arsenide (GaAs), Indium Arsenide (InAs). The device performance is examined by subjecting it to heavy ion radiation at a lower and higher dose of linear energy transfer (LET) values. It can be seen that the most sensitive location is the source/channel (S/C) interface for SiGe, GaN and GaAs whereas the drain/channel (D/C) interface for InAs. Further analysis is carried out at these vulnerable regions by matching ION of all materials. The parameters, transient peak current (Ipeak), collected charge (QC), threshold voltage shift (ΔVth) and bipolar gain (β) are extracted using transient simulations. It is observed that for a lower dose of LET, Ipeak of SiGe is 27% lesser than InAs and for higher dose of LET, SiGe shows 56% lesser Ipeak than InAs. SiGe is less sensitive at lower and higher dose of LET due to reduced ΔVth, tunneling and electron density.
Collapse
Affiliation(s)
- K Aishwarya
- School of Electronics Engineering, Vellore Institute of Technology, Chennai, India
| | - B Lakshmi
- Centre for Nano-Electronics and VLSI Design and School of Electronics Engineering, Vellore Institute of Technology, Chennai, India.
| |
Collapse
|
2
|
Zhou P, Yan P, Chen J, Chen Z, Hong W. A 77 GHz Power Amplifier with 19.1 dBm Peak Output Power in 130 nm SiGe Process. Micromachines (Basel) 2023; 14:2238. [PMID: 38138407 PMCID: PMC10745590 DOI: 10.3390/mi14122238] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 11/20/2023] [Revised: 12/08/2023] [Accepted: 12/11/2023] [Indexed: 12/24/2023]
Abstract
This article reports a two-stage differential structure power amplifier based on a 130 nm SiGe process operating at 77 GHz. By introducing a tunable capacitor for amplitude and phase balance at the center tap of the secondary coil of the traditional Marchand balun, the balun achieves amplitude imbalance less than 0.5 dB and phase imbalance less than 1 degree within the operating frequency range of 70-85 GHz, which enables the power amplifier to exhibit comparable output power over a wide operating frequency band. The power amplifier, based on a designed 3-bit digital analog convertor (DAC)-controlled base bias current source, exhibits small signal gain fluctuation of less than 5 dB and saturation output power fluctuation of less than 2 dB near the 80 GHz frequency point when the ambient temperature varies in the range of -40 °C to 125 °C. Benefiting from the aforementioned design, the tested single-path differential power amplifier exhibits a small signal gain exceeding 16 dB, a saturation output power exceeding 18 dBm, and a peak saturation output power of 19.1 dBm in the frequency band of 70-85 GHz.
Collapse
Affiliation(s)
- Peigen Zhou
- State Key Laboratory of Millimeter Waves, School of Information Science and Engineering, Southeast University, Nanjing 210096, China (W.H.)
| | | | | | | | | |
Collapse
|
3
|
Zhou P, Yan P, Chen J, Chen Z, Hong W. A 40-50 GHz RF Front-End with Integrated Local Oscillator Leakage Calibration. Micromachines (Basel) 2023; 14:2105. [PMID: 38004962 PMCID: PMC10673185 DOI: 10.3390/mi14112105] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 10/17/2023] [Revised: 11/09/2023] [Accepted: 11/15/2023] [Indexed: 11/26/2023]
Abstract
This article presents a transmitter (TX) front-end operating at frequencies covering 40-50 GHz, including a differential quadrature mixer with integrated amplitude and phase imbalance tuning, a power amplifier, and a detection mixer (DM) that supports local oscillator (LO) leakage signal or image signal calibration. Benefiting from the amplitude and phase imbalance tuning network of the in-phase quadrature (IQ) signal generator at the LO input, the TX exhibits more than 30 dBc image signal rejection over the full frequency band without any post-calibration. Based on the LO leakage signal fed back by the DM integrated at the RF output, the LO leakage of the TX has been improved by more than 10 dB through the LO leakage calibration module integrated in the quadrature mixer. When the intermediate frequency (IF) signal is fixed at 1 GHz, the TX's 1 dB compressed output power (OP1 dB) is higher than 13.5 dBm over the operating band. Thanks to the LO leakage signal calibration unit and the IQ signal generator, the TX is compliant with the error vector magnitude (EVM) requirement of the IEEE 802.11aj standard up to the 64-quadrature amplitude modulation (QAM) operating mode.
Collapse
Affiliation(s)
- Peigen Zhou
- State Key Laboratory of Millimeter Waves, School of Information Science and Engineering, Southeast University, Nanjing 210096, China (W.H.)
| | | | | | | | | |
Collapse
|
4
|
Lin JT, Chu CJ. Bilateral sidewall engineering Si 1-xGe xiTFET for low power display application . Nanotechnology 2023; 34:505202. [PMID: 37708870 DOI: 10.1088/1361-6528/acf9ab] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 06/01/2023] [Accepted: 09/14/2023] [Indexed: 09/16/2023]
Abstract
In this work, we demonstrate the performance enhancement of bottom-gated inductive line-tunneling TFET (iTFET) through the integration of bilateral sidewall engineering with SiGe mole fraction variation, considering the feasibility of the fabrication process. We also employ a metal-semiconductor interface for carrier induction to improve theION, resulting in a lower subthreshold swing average (S.Savg). Using Sentaurus TCAD simulations, we show that the dominant current mechanism is line tunneling, and the hump effect is mitigated by using SiGe with different mole fractions on the sidewalls. Compared to conventional tunnel field-effect transistors, which require at least three doping processes and annealing, the proposed device requires only one doping process and utilizes the metal-semiconductor interface for carrier induction, significantly reducing the fabrication cost and thermal budget. These measurement based simulations show that theS.Savgis improved to 21.5 mV dec-1with anION/IOFFratio of 106 atVD= 0.2 V. This is the first time that a TFT with a subthreshold swing of less than 60 mV dec-1has been proposed, so it will save much more power in the future and displays with high energy efficiency can be realized and widely used in IoT applications.
Collapse
Affiliation(s)
- Jyi-Tsong Lin
- Department of Electrical Engineering, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, R.O.C
| | - Chun-Ju Chu
- Department of Electrical Engineering, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, R.O.C
| |
Collapse
|
5
|
Del Pino J, Khemchandani SL, San-Miguel-Montesdeoca M, Mateos-Angulo S, Mayor-Duarte D, Saiz-Perez JL, Galante-Sempere D. A 17.8-20.2 GHz Compact Vector-Sum Phase Shifter in 130 nm SiGe BiCMOS Technology for LEO Gateways Receivers. Micromachines (Basel) 2023; 14:1184. [PMID: 37374769 DOI: 10.3390/mi14061184] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 05/08/2023] [Revised: 05/28/2023] [Accepted: 05/31/2023] [Indexed: 06/29/2023]
Abstract
This paper presents a novel and compact vector modulator (VM) architecture implemented in 130 nm SiGe BiCMOS technology. The design is suitable for use in receive phased arrays for the gateways of major low Earth orbit (LEO) constellations that operate in the 17.8 to 20.2 GHz frequency range. The proposed architecture uses four variable gain amplifiers (VGA) that are active at any given time and are switched to generate the four quadrants. Compared to conventional architectures, this structure is more compact and produces double the output amplitude. The design offers 6-bit phase control for 360°, and the total root mean square (RMS) phase and gain errors are 2.36° and 1.46 dB, respectively. The design occupies an area of 1309.4 μm × 1783.8 μm (including pads).
Collapse
Affiliation(s)
- Javier Del Pino
- Institute for Applied Microelectronics (IUMA), Universidad de Las Palmas de Gran Canaria, 35017 Las Palmas de Gran Canaria, Spain
| | - Sunil L Khemchandani
- Institute for Applied Microelectronics (IUMA), Universidad de Las Palmas de Gran Canaria, 35017 Las Palmas de Gran Canaria, Spain
| | | | | | | | | | - David Galante-Sempere
- Institute for Applied Microelectronics (IUMA), Universidad de Las Palmas de Gran Canaria, 35017 Las Palmas de Gran Canaria, Spain
| |
Collapse
|
6
|
Yao YJ, Yang CR, Tseng TY, Chang HJ, Lin TJ, Luo GL, Hou FJ, Wu YC, Chang-Liao KS. High-Performance P- and N-Type SiGe/Si Strained Super-Lattice FinFET and CMOS Inverter: Comparison of Si and SiGe FinFET. Nanomaterials (Basel) 2023; 13:1310. [PMID: 37110895 PMCID: PMC10145376 DOI: 10.3390/nano13081310] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Figures] [Subscribe] [Scholar Register] [Received: 03/28/2023] [Revised: 04/06/2023] [Accepted: 04/06/2023] [Indexed: 06/19/2023]
Abstract
This research presents the optimization and proposal of P- and N-type 3-stacked Si0.8Ge0.2/Si strained super-lattice FinFETs (SL FinFET) using Low-Pressure Chemical Vapor Deposition (LPCVD) epitaxy. Three device structures, Si FinFET, Si0.8Ge0.2 FinFET, and Si0.8Ge0.2/Si SL FinFET, were comprehensively compared with HfO2 = 4 nm/TiN = 80 nm. The strained effect was analyzed using Raman spectrum and X-ray diffraction reciprocal space mapping (RSM). The results show that Si0.8Ge0.2/Si SL FinFET exhibited the lowest average subthreshold slope (SSavg) of 88 mV/dec, the highest maximum transconductance (Gm, max) of 375.2 μS/μm, and the highest ON-OFF current ratio (ION/IOFF), approximately 106 at VOV = 0.5 V due to the strained effect. Furthermore, with the super-lattice FinFETs as complementary metal-oxide-semiconductor (CMOS) inverters, a maximum gain of 91 v/v was achieved by varying the supply voltage from 0.6 V to 1.2 V. The simulation of a Si0.8Ge0.2/Si super-lattice FinFET with the state of the art was also investigated. The proposed Si0.8Ge0.2/Si strained SL FinFET is fully compatible with the CMOS technology platform, showing promising flexibility for extending CMOS scaling.
Collapse
Affiliation(s)
- Yi-Ju Yao
- College of Semiconductor Research, National Tsing Hua University, Hsinchu 30013, Taiwan;
| | - Ching-Ru Yang
- Department of Engineering and System Science, National Tsing Hua University, Hsinchu 30013, Taiwan; (C.-R.Y.); (T.-Y.T.); (H.-J.C.); (T.-J.L.)
| | - Ting-Yu Tseng
- Department of Engineering and System Science, National Tsing Hua University, Hsinchu 30013, Taiwan; (C.-R.Y.); (T.-Y.T.); (H.-J.C.); (T.-J.L.)
| | - Heng-Jia Chang
- Department of Engineering and System Science, National Tsing Hua University, Hsinchu 30013, Taiwan; (C.-R.Y.); (T.-Y.T.); (H.-J.C.); (T.-J.L.)
| | - Tsai-Jung Lin
- Department of Engineering and System Science, National Tsing Hua University, Hsinchu 30013, Taiwan; (C.-R.Y.); (T.-Y.T.); (H.-J.C.); (T.-J.L.)
| | - Guang-Li Luo
- Taiwan Semiconductor Research Institute, Hsinchu 30078, Taiwan; (G.-L.L.); (F.-J.H.)
| | - Fu-Ju Hou
- Taiwan Semiconductor Research Institute, Hsinchu 30078, Taiwan; (G.-L.L.); (F.-J.H.)
| | - Yung-Chun Wu
- Department of Engineering and System Science, National Tsing Hua University, Hsinchu 30013, Taiwan; (C.-R.Y.); (T.-Y.T.); (H.-J.C.); (T.-J.L.)
| | - Kuei-Shu Chang-Liao
- Department of Engineering and System Science, National Tsing Hua University, Hsinchu 30013, Taiwan; (C.-R.Y.); (T.-Y.T.); (H.-J.C.); (T.-J.L.)
| |
Collapse
|
7
|
Müller J, Lecestre A, Demoulin R, Cristiano F, Hartmann JM, Larrieu G. Engineering of dense arrays of Vertical Si 1-xGe xnanostructures. Nanotechnology 2022; 34:105303. [PMID: 36399779 DOI: 10.1088/1361-6528/aca419] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 06/30/2022] [Accepted: 11/18/2022] [Indexed: 06/16/2023]
Abstract
Vertical nanostructure technologies are becoming more important for the down scaling of nanoelectronic devices such as logic transistors or memories. Such devices require dense vertical nanostructured channel arrays (VNCA) that can be fabricated through a top-down approach based on group IV materials. We present progresses on the top-down fabrication of highly anisotropic and ultra-dense Si1-xGex(x= 0, 0.2, 0.5) VNCAs. Dense nanowire and nanosheet patterns were optimized through high resolution lithography and transferred onto Si1-xGexsubstrates by anisotropic reactive ion etching with a fluorine chemistry. The right gas mixtures for a given Ge content resulted in perfectly vertical and dense arrays. Finally we fabricated oxide shell/SiGe core heterostructures by dry- and wet-thermal oxidation and evaluated their applicability for nanostructure size engineering, as already established for silicon nanowires. The impact of the nanostructured shape (wire or sheet), size and Ge content on the oxide growth were investigated and analysed in detail through transmission electron microscopy.
Collapse
Affiliation(s)
- J Müller
- LAAS-CNRS, CNRS, Université de Toulouse, INP Toulouse, Toulouse, 31031, France
| | - A Lecestre
- LAAS-CNRS, CNRS, Université de Toulouse, INP Toulouse, Toulouse, 31031, France
| | - R Demoulin
- LAAS-CNRS, CNRS, Université de Toulouse, INP Toulouse, Toulouse, 31031, France
| | - F Cristiano
- LAAS-CNRS, CNRS, Université de Toulouse, INP Toulouse, Toulouse, 31031, France
| | - J-M Hartmann
- CEA, LETI, Université Grenoble Alpes, Grenoble, 38000, France
| | - G Larrieu
- LAAS-CNRS, CNRS, Université de Toulouse, INP Toulouse, Toulouse, 31031, France
| |
Collapse
|
8
|
Sun CJ, Wu CH, Yao YJ, Lin SW, Yan SC, Lin YW, Wu YC. Threshold Voltage Adjustment by Varying Ge Content in SiGe p-Channel for Single Metal Shared Gate Complementary FET (CFET). Nanomaterials (Basel) 2022; 12:3712. [PMID: 36296902 PMCID: PMC9610062 DOI: 10.3390/nano12203712] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Figures] [Subscribe] [Scholar Register] [Received: 09/08/2022] [Revised: 10/12/2022] [Accepted: 10/19/2022] [Indexed: 06/16/2023]
Abstract
We have demonstrated the method of threshold voltage (VT) adjustment by controlling Ge content in the SiGe p-channel of N1 complementary field-effect transistor (CFET) for conquering the work function metal (WFM) filling issue on highly scaled MOSFET. Single WFM shared gate N1 CFET was used to study and emphasize the VT tunability of the proposed Ge content method. The result reveals that the Ge mole fraction influences VTP of 5 mV/Ge%, and a close result can also be obtained from the energy band configuration of Si1-xGex. Additionally, the single WFM shared gate N1 CFET inverter with VT adjusted by the Ge content method presents a well-designed voltage transfer curve, and its inverter transient response is also presented. Furthermore, the designed CFET inverter is used to construct a well-behaved 6T-SRAM with a large SNM of ~120 mV at VDD of 0.5 V.
Collapse
|
9
|
Li A, Hauge HIT, Verheijen MA, Bakkers EPAM, Tucker RT, Vincent L, Renard C. Hexagonal silicon-germanium nanowire branches with tunable composition. Nanotechnology 2022; 34:015601. [PMID: 36126589 DOI: 10.1088/1361-6528/ac9317] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 06/19/2022] [Accepted: 09/20/2022] [Indexed: 06/15/2023]
Abstract
Hexagonal SiGe-2H has been recently shown to have a direct bandgap, and holds the promise to be compatible with silicon technology. Hexagonal Si and Ge have been grown on an epitaxial lattice matched template consisting of wurtzite GaP and GaAs, respectively. Here, we present the growth of hexagonal Si and SiGe nanowire branches grown from a wurtzite stem by the vapor-liquid-solid growth mode, which is substantiated byin situtransmission electron microscopy. We show that the composition can be tuned through the whole range of stoichiometry from Si to Ge, and the possibility to realize Si and SiGe heterostructures in these branches.
Collapse
Affiliation(s)
- A Li
- Department of Applied Physics, TU Eindhoven, Den Dolech 2, 5612 AZ Eindhoven, The Netherlands
| | - H I T Hauge
- Department of Applied Physics, TU Eindhoven, Den Dolech 2, 5612 AZ Eindhoven, The Netherlands
| | - M A Verheijen
- Department of Applied Physics, TU Eindhoven, Den Dolech 2, 5612 AZ Eindhoven, The Netherlands
- Eurofins Materials Science, High Tech Campus 11, 5656 AE Eindhoven, The Netherlands
| | - E P A M Bakkers
- Department of Applied Physics, TU Eindhoven, Den Dolech 2, 5612 AZ Eindhoven, The Netherlands
| | - R T Tucker
- Department of Applied Physics, TU Eindhoven, Den Dolech 2, 5612 AZ Eindhoven, The Netherlands
- Department of Electrical & Computer Engineering, University of Alberta, Edmonton, Alberta T6G 2V4, Canada
| | - L Vincent
- Université Paris-Saclay, CNRS, Centre de Nanosciences et de Nanotechnologies, F-91120, Palaiseau, France
| | - C Renard
- Université Paris-Saclay, CNRS, Centre de Nanosciences et de Nanotechnologies, F-91120, Palaiseau, France
| |
Collapse
|
10
|
Thornton CS, Tuttle B, Turner E, Law ME, Pantelides ST, Wang GT, Jones KS. The Diffusion Mechanism of Ge During Oxidation of Si/ SiGe Nanofins. ACS Appl Mater Interfaces 2022; 14:29422-29430. [PMID: 35706336 DOI: 10.1021/acsami.2c05470] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/15/2023]
Abstract
A recently discovered, enhanced Ge diffusion mechanism along the oxidizing interface of Si/SiGe nanostructures has enabled the formation of single-crystal Si nanowires and quantum dots embedded in a defect-free, single-crystal SiGe matrix. Here, we report oxidation studies of Si/SiGe nanofins aimed at gaining a better understanding of this novel diffusion mechanism. A superlattice of alternating Si/Si0.7Ge0.3 layers was grown and patterned into fins. After oxidation of the fins, the rate of Ge diffusion down the Si/SiO2 interface was measured through the analysis of HAADF-STEM images. The activation energy for the diffusion of Ge down the sidewall was found to be 1.1 eV, which is less than one-quarter of the activation energy previously reported for Ge diffusion in bulk Si. Through a combination of experiments and DFT calculations, we propose that the redistribution of Ge occurs by diffusion along the Si/SiO2 interface followed by a reintroduction into substitutional positions in the crystalline Si.
Collapse
Affiliation(s)
- Chappel S Thornton
- Department of Materials Science and Engineering, University of Florida, Gainesville, Florida 32611, United States
| | - Blair Tuttle
- Department of Physics, The Pennsylvania State University- Behrend, Erie, Pennsylvania 16563, United States
- Department of Physics and Astronomy, Vanderbilt University, Nashville, Tennessee 37212, United States
| | - Emily Turner
- Department of Materials Science and Engineering, University of Florida, Gainesville, Florida 32611, United States
| | - Mark E Law
- Department of Electrical and Computer Engineering, University of Florida, Gainesville, Florida 32611, United States
| | - Sokrates T Pantelides
- Department of Physics and Astronomy, Vanderbilt University, Nashville, Tennessee 37212, United States
- Department of Electrical and Computer Engineering, Vanderbilt University, Nashville, Tennessee 37212, United States
| | - George T Wang
- Advanced Materials Sciences, Sandia National Laboratories, Albuquerque, New Mexico 87158, United States
| | - Kevin S Jones
- Department of Materials Science and Engineering, University of Florida, Gainesville, Florida 32611, United States
| |
Collapse
|
11
|
Minenkov A, Šantić N, Truglas T, Aberl J, Vukušić L, Brehm M, Groiss H. Advanced preparation of plan-view specimens on a MEMS chip for in situ TEM heating experiments. MRS Bull 2022; 47:359-370. [PMID: 35968543 PMCID: PMC9365753 DOI: 10.1557/s43577-021-00255-5] [Citation(s) in RCA: 3] [Impact Index Per Article: 1.5] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Grants] [Track Full Text] [Figures] [Subscribe] [Scholar Register] [Accepted: 12/03/2021] [Indexed: 06/15/2023]
Abstract
UNLABELLED In situ transmission electron microscopy (TEM) is a powerful tool for advanced material characterization. It allows real-time observation of structural evolution at the atomic level while applying different stimuli such as heat. However, the validity of analysis strongly depends on the quality of the specimen, which has to be prepared by thinning the bulk material to electron transparency while maintaining the pristine properties. To address this challenge, a novel method of TEM samples preparation in plan-view geometry was elaborated based on the combination of the wedge polishing technique and an enhanced focused ion beam (FIB) workflow. It involves primary mechanical thinning of a broad sample area from the backside followed by FIB-assisted installation on the MEMS-based sample carrier. The complete step-by-step guide is provided, and the method's concept is discussed in detail making it easy to follow and adapt for diverse equipment. The presented approach opens the world of in situ TEM heating experiments for a vast variety of fragile materials. The principle and significant advantage of the proposed method are demonstrated by new insights into the stability and thermal-induced strain relaxation of Ge Stranski-Krastanov islands on Si during in situ TEM heating. SUPPLEMENTARY INFORMATION The online version contains supplementary material available at 10.1557/s43577-021-00255-5.
Collapse
Affiliation(s)
- Alexey Minenkov
- Christian Doppler Laboratory for Nanoscale Phase Transformations, Center for Surface and Nanoanalytics, Johannes Kepler University Linz, Altenberger Straße 69, 4040 Linz, Austria
| | - Natalija Šantić
- Christian Doppler Laboratory for Nanoscale Phase Transformations, Center for Surface and Nanoanalytics, Johannes Kepler University Linz, Altenberger Straße 69, 4040 Linz, Austria
| | - Tia Truglas
- Christian Doppler Laboratory for Nanoscale Phase Transformations, Center for Surface and Nanoanalytics, Johannes Kepler University Linz, Altenberger Straße 69, 4040 Linz, Austria
- Tietz Video and Image Processing Systems GmbH, Eremitenweg 1, 82131 Gauting, Germany
| | - Johannes Aberl
- Institute of Semiconductor and Solid-State Physics, Johannes Kepler University Linz, Altenberger Straße 69, 4040 Linz, Austria
| | - Lada Vukušić
- Institute of Semiconductor and Solid-State Physics, Johannes Kepler University Linz, Altenberger Straße 69, 4040 Linz, Austria
| | - Moritz Brehm
- Institute of Semiconductor and Solid-State Physics, Johannes Kepler University Linz, Altenberger Straße 69, 4040 Linz, Austria
| | - Heiko Groiss
- Christian Doppler Laboratory for Nanoscale Phase Transformations, Center for Surface and Nanoanalytics, Johannes Kepler University Linz, Altenberger Straße 69, 4040 Linz, Austria
| |
Collapse
|
12
|
Conlan AP, Luong MA, Gentile P, Moldovan G, Den Hertog MI, Monroy E, Cooper D. Thermally propagated Al contacts on SiGe nanowires characterized by electron beam induced current in a scanning transmission electron microscope. Nanotechnology 2021; 33:035712. [PMID: 34633307 DOI: 10.1088/1361-6528/ac2e73] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 05/04/2021] [Accepted: 10/11/2021] [Indexed: 06/13/2023]
Abstract
Here, we use electron beam induced current (EBIC) in a scanning transmission electron microscope to characterize the structure and electronic properties of Al/SiGe and Al/Si-rich/SiGe axial nanowire heterostructures fabricated by thermal propagation of Al in a SiGe nanowire. The two heterostructures behave as Schottky contacts with different barrier heights. From the sign of the beam induced current collected at the contacts, the intrinsic semiconductor doping is determined to be n-type. Furthermore, we find that the silicon-rich double interface presents a lower barrier height than the atomically sharp SiGe/Al interface. With an applied bias, the Si-rich region delays the propagation of the depletion region and presents a reduced free carrier diffusion length with respect to the SiGe nanowire. This behaviour could be explained by a higher residual doping in the Si-rich area. These results demonstrate that scanning transmission electron microscopy EBIC is a powerful method for mapping and quantifying electric fields in micrometer- and nanometer-scale devices.
Collapse
Affiliation(s)
- Aidan P Conlan
- Univ. Grenoble Alpes, CEA-LETI, F-38000 Grenoble, France
| | - Minh Anh Luong
- Univ. Grenoble Alpes, CNRS-Institut Néel, 25 Avenue des Martyrs, F-38000 Grenoble, France
| | - Pascal Gentile
- Univ. Grenoble Alpes, CEA, Grenoble INP, IRIG, PHELIQS, 17 av. des Martyrs, F-38000 Grenoble, France
| | - Grigore Moldovan
- Point Electronic GmbH, Erich-Neuss-Weg 15, D-06120 Halle (Saale), Germany
| | - Martien I Den Hertog
- Univ. Grenoble Alpes, CNRS-Institut Néel, 25 Avenue des Martyrs, F-38000 Grenoble, France
| | - Eva Monroy
- Univ. Grenoble Alpes, CEA, Grenoble INP, IRIG, PHELIQS, 17 av. des Martyrs, F-38000 Grenoble, France
| | - David Cooper
- Univ. Grenoble Alpes, CEA-LETI, F-38000 Grenoble, France
| |
Collapse
|
13
|
Jung J, Son B, Kam B, Joh YS, Jeong W, Cho S, Lee WJ, Park S. Process Steps for High Quality Si-Based Epitaxial Growth at Low Temperature via RPCVD. Materials (Basel) 2021; 14:ma14133733. [PMID: 34279304 PMCID: PMC8269887 DOI: 10.3390/ma14133733] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Download PDF] [Figures] [Subscribe] [Scholar Register] [Received: 06/05/2021] [Revised: 06/28/2021] [Accepted: 06/30/2021] [Indexed: 11/16/2022]
Abstract
The key process steps for growing high-quality Si-based epitaxial films via reduced pressure chemical vapor deposition (RPCVD) are investigated herein. The quality of the epitaxial films is largely affected by the following steps in the epitaxy process: ex-situ cleaning, in-situ bake, and loading conditions such as the temperature and gaseous environment. With respect to ex-situ cleaning, dry cleaning is found to be more effective than wet cleaning in 1:200 dilute hydrofluoric acid (DHF), while wet cleaning in 1:30 DHF is the least effective. However, the best results of all are obtained via a combination of wet and dry cleaning. With respect to in-situ hydrogen bake in the presence of H2 gas, the level of impurities is gradually decreased as the temperature increases from 700 °C to a maximum of 850 °C, at which no peaks of O and F are observed. Further, the addition of a hydrogen chloride (HCl) bake step after the H2 bake results in effective in-situ bake even at temperatures as low as 700 °C. In addition, the effects of temperature and environment (vacuum or gas) at the time of loading the wafers into the process chamber are compared. Better quality epitaxial films are obtained when the samples are loaded into the process chamber at low temperature in a gaseous environment. These results indicate that the epitaxial conditions must be carefully tuned and controlled in order to achieve high-quality epitaxial growth.
Collapse
Affiliation(s)
- Jongwan Jung
- Hybrid Materials Center (HMC), Sejong University, Seoul 05006, Korea
- Department of Nano and Advanced Materials Science, Sejong University, Seoul 05006, Korea; (W.J.); (W.-J.L.)
- Correspondence:
| | - Baegmo Son
- Wonik IPS, Pyeongtaek 17709, Korea; (B.S.); (B.K.); (Y.S.J.); (S.P.)
| | - Byungmin Kam
- Wonik IPS, Pyeongtaek 17709, Korea; (B.S.); (B.K.); (Y.S.J.); (S.P.)
| | - Yong Sang Joh
- Wonik IPS, Pyeongtaek 17709, Korea; (B.S.); (B.K.); (Y.S.J.); (S.P.)
| | - Woonyoung Jeong
- Department of Nano and Advanced Materials Science, Sejong University, Seoul 05006, Korea; (W.J.); (W.-J.L.)
| | - Seongjae Cho
- Department of Electronics Engineering, The Graduate School of IT Convergence Engineering, Gachon University, Seongnam 13120, Korea;
| | - Won-Jun Lee
- Department of Nano and Advanced Materials Science, Sejong University, Seoul 05006, Korea; (W.J.); (W.-J.L.)
| | - Sangjoon Park
- Wonik IPS, Pyeongtaek 17709, Korea; (B.S.); (B.K.); (Y.S.J.); (S.P.)
| |
Collapse
|
14
|
Timò G, Calicchio M, Abagnale G, Armani N, Achilli E, Cornelli M, Annoni F, Schineller B, Andreani LC. Study of the Cross-Influence between III-V and IV Elements Deposited in the Same MOVPE Growth Chamber. Materials (Basel) 2021; 14:1066. [PMID: 33668771 DOI: 10.3390/ma14051066] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.3] [Reference Citation Analysis] [What about the content of this article? (0)] [Abstract] [Key Words] [Track Full Text] [Download PDF] [Figures] [Subscribe] [Scholar Register] [Received: 01/20/2021] [Revised: 02/16/2021] [Accepted: 02/22/2021] [Indexed: 11/16/2022]
Abstract
We have deposited Ge, SiGe, SiGeSn, AlAs, GaAs, InGaP and InGaAs based structures in the same metalorganic vapor phase epitaxy (MOVPE) growth chamber, in order to study the effect of the cross influence between groups IV and III-V elements on the growth rate, background doping and morphology. It is shown that by adopting an innovative design of the MOVPE growth chamber and proper growth condition, the IV elements growth rate penalization due to As "carry over" can be eliminated and the background doping level in both IV and III-V semiconductors can be drastically reduced. In the temperature range 748-888 K, Ge and SiGe morphologies do not degrade when the semiconductors are grown in a III-V-contaminated MOVPE growth chamber. Critical morphology aspects have been identified for SiGeSn and III-Vs, when the MOVPE deposition takes place, respectively, in a As or Sn-contaminated MOVPE growth chamber. III-Vs morphologies are influenced by substrate type and orientation. The results are promising in view of the monolithic integration of group-IV with III-V compounds in multi-junction solar cells.
Collapse
|
15
|
Calvo-Gallego J, Delgado-Notario JA, Velázquez-Pérez JE, Ferrando-Bataller M, Fobelets K, Moussaouy AE, Meziani YM. Numerical Study of the Coupling of Sub-Terahertz Radiation to n-Channel Strained-Silicon MODFETs. Sensors (Basel) 2021; 21:s21030688. [PMID: 33498386 PMCID: PMC7864021 DOI: 10.3390/s21030688] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Download PDF] [Figures] [Subscribe] [Scholar Register] [Received: 12/15/2020] [Revised: 01/11/2021] [Accepted: 01/18/2021] [Indexed: 11/16/2022]
Abstract
This paper reports on a study of the response of a T-gate strained-Si MODFETs (modulation-doped field-effect transistor) under continuous-wave sub-THz excitation. The sub-THz response was measured using a two-tones solid-state source at 0.15 and 0.30 THz. The device response in the photovoltaic mode was non-resonant, in agreement with the Dyakonov and Shur model for plasma waves detectors. The maximum of the photoresponse was clearly higher under THz illumination at 0.15 THz than at 0.3 THz. A numerical study was conducted using three-dimensional (3D) electromagnetic simulations to delve into the coupling of THz radiation to the channel of the transistor. 3D simulations solving the Maxwell equations using a time-domain solver were performed. Simulations considering the full transistor structure, but without taking into account the bonding wires used to contact the transistor pads in experiments, showed an irrelevant role of the gate length in the coupling of the radiation to the device channel. Simulations, in contradiction with measurements, pointed to a better response at 0.3 THz than under 0.15 THz excitation in terms of the normalized electric field inside the channel. When including four 0.25 mm long bonding wires connected to the contact pads on the transistor, the normalized internal electric field induced along the transistor channel by the 0.15 THz beam was increased in 25 dB, revealing, therefore, the important role played by the bonding wires at this frequency. As a result, the more intense response of the transistor at 0.15 THz than at 0.3 THz experimentally found, must be attributed to the bonding wires.
Collapse
Affiliation(s)
- Jaime Calvo-Gallego
- NanoLab, Universidad de Salamanca, Plaza de la Merced, Edificio Trilingüe, 37008 Salamanca, Spain; (J.C.-G.); (J.A.D.-N.); (J.E.V.-P.)
| | - Juan A. Delgado-Notario
- NanoLab, Universidad de Salamanca, Plaza de la Merced, Edificio Trilingüe, 37008 Salamanca, Spain; (J.C.-G.); (J.A.D.-N.); (J.E.V.-P.)
| | - Jesús E. Velázquez-Pérez
- NanoLab, Universidad de Salamanca, Plaza de la Merced, Edificio Trilingüe, 37008 Salamanca, Spain; (J.C.-G.); (J.A.D.-N.); (J.E.V.-P.)
| | - Miguel Ferrando-Bataller
- Departament of Communications, Telecommunication Engineering School, Universitat Politècnica de València, 46022 Valencia, Spain;
| | - Kristel Fobelets
- Department of Electrical and Electronic Engineering, Imperial College London, Exhibition Road, London SW7 2BT, UK;
| | - Abdelaziz El Moussaouy
- Department of Physics, Faculty of Sciences, Mohammed I University, Oujda 60000, Morocco;
| | - Yahya M. Meziani
- NanoLab, Universidad de Salamanca, Plaza de la Merced, Edificio Trilingüe, 37008 Salamanca, Spain; (J.C.-G.); (J.A.D.-N.); (J.E.V.-P.)
- Correspondence: ; Tel.: +34-923-294436
| |
Collapse
|
16
|
Li C, Lin H, Li J, Yin X, Zhang Y, Kong Z, Wang G, Zhu H, Radamson HH. Growth and Selective Etch of Phosphorus-Doped Silicon/Silicon-Germanium Multilayers Structures for Vertical Transistors Application. Nanoscale Res Lett 2020; 15:225. [PMID: 33296038 PMCID: PMC7726092 DOI: 10.1186/s11671-020-03456-0] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Grants] [Track Full Text] [Figures] [Subscribe] [Scholar Register] [Received: 08/06/2020] [Accepted: 11/29/2020] [Indexed: 06/12/2023]
Abstract
Vertical gate-all-around field-effect transistors (vGAAFETs) are considered as the potential candidates to replace FinFETs for advanced integrated circuit manufacturing technology at/beyond 3-nm technology node. A multilayer (ML) of Si/SiGe/Si is commonly grown and processed to form vertical transistors. In this work, the P-incorporation in Si/SiGe/Si and vertical etching of these MLs followed by selective etching SiGe in lateral direction to form structures for vGAAFET have been studied. Several strategies were proposed for the epitaxy such as hydrogen purging to deplete the access of P atoms on Si surface, and/or inserting a Si or Si0.93Ge0.07 spacers on both sides of P-doped Si layers, and substituting SiH4 by SiH2Cl2 (DCS). Experimental results showed that the segregation and auto-doping could also be relieved by adding 7% Ge to P-doped Si. The structure had good lattice quality and almost had no strain relaxation. The selective etching between P-doped Si (or P-doped Si0.93Ge0.07) and SiGe was also discussed by using wet and dry etching. The performance and selectivity of different etching methods were also compared. This paper provides knowledge of how to deal with the challenges or difficulties of epitaxy and etching of n-type layers in vertical GAAFETs structure.
Collapse
Affiliation(s)
- Chen Li
- Key Laboratory of Microelectronic Devices and Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing, 100029 People’s Republic of China
- University of Chinese Academy of Sciences, Beijing, 100049 People’s Republic of China
| | - Hongxiao Lin
- Key Laboratory of Microelectronic Devices and Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing, 100029 People’s Republic of China
- Research and Development Center of Optoelectronic Hybrid IC, Guangdong Greater Bay Area Institute of Integrated Circuit and System, Guangdong, 510535 China
| | - Junjie Li
- Key Laboratory of Microelectronic Devices and Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing, 100029 People’s Republic of China
- University of Chinese Academy of Sciences, Beijing, 100049 People’s Republic of China
| | - Xiaogen Yin
- Key Laboratory of Microelectronic Devices and Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing, 100029 People’s Republic of China
- University of Chinese Academy of Sciences, Beijing, 100049 People’s Republic of China
| | - Yongkui Zhang
- Key Laboratory of Microelectronic Devices and Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing, 100029 People’s Republic of China
| | - Zhenzhen Kong
- Key Laboratory of Microelectronic Devices and Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing, 100029 People’s Republic of China
| | - Guilei Wang
- Key Laboratory of Microelectronic Devices and Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing, 100029 People’s Republic of China
- University of Chinese Academy of Sciences, Beijing, 100049 People’s Republic of China
- Research and Development Center of Optoelectronic Hybrid IC, Guangdong Greater Bay Area Institute of Integrated Circuit and System, Guangdong, 510535 China
| | - Huilong Zhu
- Key Laboratory of Microelectronic Devices and Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing, 100029 People’s Republic of China
- University of Chinese Academy of Sciences, Beijing, 100049 People’s Republic of China
| | - Henry H. Radamson
- Key Laboratory of Microelectronic Devices and Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing, 100029 People’s Republic of China
- University of Chinese Academy of Sciences, Beijing, 100049 People’s Republic of China
- Research and Development Center of Optoelectronic Hybrid IC, Guangdong Greater Bay Area Institute of Integrated Circuit and System, Guangdong, 510535 China
| |
Collapse
|
17
|
Ceponis T, Deveikis L, Lastovskii S, Makarenko L, Pavlov J, Pukas K, Rumbauskas V, Gaubas E. Transient Electrical and Optical Characteristics of Electron and Proton Irradiated SiGe Detectors. Sensors (Basel) 2020; 20:E6884. [PMID: 33276481 DOI: 10.3390/s20236884] [Citation(s) in RCA: 2] [Impact Index Per Article: 0.5] [Reference Citation Analysis] [What about the content of this article? (0)] [Abstract] [Key Words] [Track Full Text] [Download PDF] [Figures] [Subscribe] [Scholar Register] [Received: 11/10/2020] [Revised: 11/26/2020] [Accepted: 11/30/2020] [Indexed: 11/24/2022]
Abstract
The particle detector degradation mainly appears through decrease of carrier recombination lifetime and manifestation of carrier trapping effects related to introduction of carrier capture and emission centers. In this work, the carrier trap spectroscopy in Si1−xGex structures, containing either 1 or 5% of Ge, has been performed by combining the microwave probed photoconductivity, pulsed barrier capacitance transients and spectra of steady-state photo-ionization. These characteristics were examined in pristine, 5.5 MeV electron and 1.6 MeV proton irradiated Si and SiGe diodes with n+p structure.
Collapse
|
18
|
Bhat A, Elleuch O, Cui X, Guan Y, Scott SA, Kuech TF, Lagally MG. High-Ge-Content SiGe Alloy Single Crystals Using the Nanomembrane Platform. ACS Appl Mater Interfaces 2020; 12:20859-20866. [PMID: 32282183 DOI: 10.1021/acsami.0c02747] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/11/2023]
Abstract
The growth of single crystals of Ge-rich SiGe alloys in an extended composition range is demonstrated using the nanomembrane (NM) platform and III-V growth substrates. Thin films of high-Ge-content SiGe films are grown on GaAs(001) to below the kinetic critical thickness and released from the growth substrate by selectively etching a release layer to relax the strain. The resulting crystalline nanomembranes at the natural lattice constant of the alloy are transferred to a new host and epitaxially overgrown at similar compositions to make a thicker single crystal. Straightforward critical-thickness calculations demonstrate that a very wide range of group IV alloys, including those involving Sn, can be fabricated using the NM platform and the proper choice of III-V substrate. Motivations for making new group IV alloys center on band gap engineering for the development of novel group IV optoelectronic structures and devices.
Collapse
Affiliation(s)
- Abhishek Bhat
- Department of Materials Science and Engineering, University of Wisconsin, Madison, Wisconsin 53706, United States
| | - Omar Elleuch
- Department of Materials Science and Engineering, University of Wisconsin, Madison, Wisconsin 53706, United States
- Department of Chemical and Biological Engineering, University of Wisconsin, Madison, Wisconsin 53706, United States
| | - Xiaorui Cui
- Department of Materials Science and Engineering, University of Wisconsin, Madison, Wisconsin 53706, United States
| | - Yingxin Guan
- Department of Materials Science and Engineering, University of Wisconsin, Madison, Wisconsin 53706, United States
| | - Shelley A Scott
- Department of Materials Science and Engineering, University of Wisconsin, Madison, Wisconsin 53706, United States
| | - Thomas F Kuech
- Department of Chemical and Biological Engineering, University of Wisconsin, Madison, Wisconsin 53706, United States
| | - Max G Lagally
- Department of Materials Science and Engineering, University of Wisconsin, Madison, Wisconsin 53706, United States
| |
Collapse
|
19
|
Li J, Li Y, Zhou N, Wang G, Zhang Q, Du A, Zhang Y, Gao J, Kong Z, Lin H, Xiang J, Li C, Yin X, Li Y, Wang X, Yang H, Ma X, Han J, Zhang J, Hu T, Yang T, Li J, Yin H, Zhu H, Wang W, Radamson HH. A Novel Dry Selective Isotropic Atomic Layer Etching of SiGe for Manufacturing Vertical Nanowire Array with Diameter Less than 20 nm. Materials (Basel) 2020; 13:ma13030771. [PMID: 32046197 PMCID: PMC7040590 DOI: 10.3390/ma13030771] [Citation(s) in RCA: 5] [Impact Index Per Article: 1.3] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Download PDF] [Figures] [Subscribe] [Scholar Register] [Received: 01/16/2020] [Revised: 02/01/2020] [Accepted: 02/03/2020] [Indexed: 01/18/2023]
Abstract
Semiconductor nanowires have great application prospects in field effect transistors and sensors. In this study, the process and challenges of manufacturing vertical SiGe/Si nanowire array by using the conventional lithography and novel dry atomic layer etching technology. The final results demonstrate that vertical nanowires with a diameter less than 20 nm can be obtained. The diameter of nanowires is adjustable with an accuracy error less than 0.3 nm. This technology provides a new way for advanced 3D transistors and sensors.
Collapse
Affiliation(s)
- Junjie Li
- Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China; (Y.L.); (N.Z.); (Q.Z.); (A.D.); (Y.Z.); (J.G.); (Z.K.); (H.L.); (J.X.); (C.L.); (X.Y.); (Y.L.); (X.W.); (H.Y.); (X.M.); (J.H.); (T.Y.); (J.L.); (H.Y.); (H.Z.); (H.H.R.)
- Microelectronics Institute, University of Chinese Academy of Sciences, Beijing 100049, China
- Correspondence: (J.L.); (W.W.); (G.W.); Tel.: +86-010-8299-5508 (W.W.)
| | - Yongliang Li
- Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China; (Y.L.); (N.Z.); (Q.Z.); (A.D.); (Y.Z.); (J.G.); (Z.K.); (H.L.); (J.X.); (C.L.); (X.Y.); (Y.L.); (X.W.); (H.Y.); (X.M.); (J.H.); (T.Y.); (J.L.); (H.Y.); (H.Z.); (H.H.R.)
| | - Na Zhou
- Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China; (Y.L.); (N.Z.); (Q.Z.); (A.D.); (Y.Z.); (J.G.); (Z.K.); (H.L.); (J.X.); (C.L.); (X.Y.); (Y.L.); (X.W.); (H.Y.); (X.M.); (J.H.); (T.Y.); (J.L.); (H.Y.); (H.Z.); (H.H.R.)
| | - Guilei Wang
- Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China; (Y.L.); (N.Z.); (Q.Z.); (A.D.); (Y.Z.); (J.G.); (Z.K.); (H.L.); (J.X.); (C.L.); (X.Y.); (Y.L.); (X.W.); (H.Y.); (X.M.); (J.H.); (T.Y.); (J.L.); (H.Y.); (H.Z.); (H.H.R.)
- Microelectronics Institute, University of Chinese Academy of Sciences, Beijing 100049, China
- Correspondence: (J.L.); (W.W.); (G.W.); Tel.: +86-010-8299-5508 (W.W.)
| | - Qingzhu Zhang
- Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China; (Y.L.); (N.Z.); (Q.Z.); (A.D.); (Y.Z.); (J.G.); (Z.K.); (H.L.); (J.X.); (C.L.); (X.Y.); (Y.L.); (X.W.); (H.Y.); (X.M.); (J.H.); (T.Y.); (J.L.); (H.Y.); (H.Z.); (H.H.R.)
- State Key Laboratory of Advanced Materials for Smart Sensing, General Research Institute for Nonferrous Metals, Beijing 100088, China
| | - Anyan Du
- Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China; (Y.L.); (N.Z.); (Q.Z.); (A.D.); (Y.Z.); (J.G.); (Z.K.); (H.L.); (J.X.); (C.L.); (X.Y.); (Y.L.); (X.W.); (H.Y.); (X.M.); (J.H.); (T.Y.); (J.L.); (H.Y.); (H.Z.); (H.H.R.)
| | - Yongkui Zhang
- Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China; (Y.L.); (N.Z.); (Q.Z.); (A.D.); (Y.Z.); (J.G.); (Z.K.); (H.L.); (J.X.); (C.L.); (X.Y.); (Y.L.); (X.W.); (H.Y.); (X.M.); (J.H.); (T.Y.); (J.L.); (H.Y.); (H.Z.); (H.H.R.)
| | - Jianfeng Gao
- Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China; (Y.L.); (N.Z.); (Q.Z.); (A.D.); (Y.Z.); (J.G.); (Z.K.); (H.L.); (J.X.); (C.L.); (X.Y.); (Y.L.); (X.W.); (H.Y.); (X.M.); (J.H.); (T.Y.); (J.L.); (H.Y.); (H.Z.); (H.H.R.)
| | - Zhenzhen Kong
- Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China; (Y.L.); (N.Z.); (Q.Z.); (A.D.); (Y.Z.); (J.G.); (Z.K.); (H.L.); (J.X.); (C.L.); (X.Y.); (Y.L.); (X.W.); (H.Y.); (X.M.); (J.H.); (T.Y.); (J.L.); (H.Y.); (H.Z.); (H.H.R.)
| | - Hongxiao Lin
- Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China; (Y.L.); (N.Z.); (Q.Z.); (A.D.); (Y.Z.); (J.G.); (Z.K.); (H.L.); (J.X.); (C.L.); (X.Y.); (Y.L.); (X.W.); (H.Y.); (X.M.); (J.H.); (T.Y.); (J.L.); (H.Y.); (H.Z.); (H.H.R.)
| | - Jinjuan Xiang
- Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China; (Y.L.); (N.Z.); (Q.Z.); (A.D.); (Y.Z.); (J.G.); (Z.K.); (H.L.); (J.X.); (C.L.); (X.Y.); (Y.L.); (X.W.); (H.Y.); (X.M.); (J.H.); (T.Y.); (J.L.); (H.Y.); (H.Z.); (H.H.R.)
| | - Chen Li
- Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China; (Y.L.); (N.Z.); (Q.Z.); (A.D.); (Y.Z.); (J.G.); (Z.K.); (H.L.); (J.X.); (C.L.); (X.Y.); (Y.L.); (X.W.); (H.Y.); (X.M.); (J.H.); (T.Y.); (J.L.); (H.Y.); (H.Z.); (H.H.R.)
- Microelectronics Institute, University of Chinese Academy of Sciences, Beijing 100049, China
| | - Xiaogen Yin
- Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China; (Y.L.); (N.Z.); (Q.Z.); (A.D.); (Y.Z.); (J.G.); (Z.K.); (H.L.); (J.X.); (C.L.); (X.Y.); (Y.L.); (X.W.); (H.Y.); (X.M.); (J.H.); (T.Y.); (J.L.); (H.Y.); (H.Z.); (H.H.R.)
- Microelectronics Institute, University of Chinese Academy of Sciences, Beijing 100049, China
| | - Yangyang Li
- Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China; (Y.L.); (N.Z.); (Q.Z.); (A.D.); (Y.Z.); (J.G.); (Z.K.); (H.L.); (J.X.); (C.L.); (X.Y.); (Y.L.); (X.W.); (H.Y.); (X.M.); (J.H.); (T.Y.); (J.L.); (H.Y.); (H.Z.); (H.H.R.)
- Microelectronics Institute, University of Chinese Academy of Sciences, Beijing 100049, China
| | - Xiaolei Wang
- Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China; (Y.L.); (N.Z.); (Q.Z.); (A.D.); (Y.Z.); (J.G.); (Z.K.); (H.L.); (J.X.); (C.L.); (X.Y.); (Y.L.); (X.W.); (H.Y.); (X.M.); (J.H.); (T.Y.); (J.L.); (H.Y.); (H.Z.); (H.H.R.)
| | - Hong Yang
- Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China; (Y.L.); (N.Z.); (Q.Z.); (A.D.); (Y.Z.); (J.G.); (Z.K.); (H.L.); (J.X.); (C.L.); (X.Y.); (Y.L.); (X.W.); (H.Y.); (X.M.); (J.H.); (T.Y.); (J.L.); (H.Y.); (H.Z.); (H.H.R.)
| | - Xueli Ma
- Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China; (Y.L.); (N.Z.); (Q.Z.); (A.D.); (Y.Z.); (J.G.); (Z.K.); (H.L.); (J.X.); (C.L.); (X.Y.); (Y.L.); (X.W.); (H.Y.); (X.M.); (J.H.); (T.Y.); (J.L.); (H.Y.); (H.Z.); (H.H.R.)
| | - Jianghao Han
- Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China; (Y.L.); (N.Z.); (Q.Z.); (A.D.); (Y.Z.); (J.G.); (Z.K.); (H.L.); (J.X.); (C.L.); (X.Y.); (Y.L.); (X.W.); (H.Y.); (X.M.); (J.H.); (T.Y.); (J.L.); (H.Y.); (H.Z.); (H.H.R.)
| | - Jing Zhang
- College of Electronic and Information Engineering, North China University of Technology, Beijing 100144, China; (J.Z.); (T.H.)
| | - Tairan Hu
- College of Electronic and Information Engineering, North China University of Technology, Beijing 100144, China; (J.Z.); (T.H.)
| | - Tao Yang
- Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China; (Y.L.); (N.Z.); (Q.Z.); (A.D.); (Y.Z.); (J.G.); (Z.K.); (H.L.); (J.X.); (C.L.); (X.Y.); (Y.L.); (X.W.); (H.Y.); (X.M.); (J.H.); (T.Y.); (J.L.); (H.Y.); (H.Z.); (H.H.R.)
| | - Junfeng Li
- Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China; (Y.L.); (N.Z.); (Q.Z.); (A.D.); (Y.Z.); (J.G.); (Z.K.); (H.L.); (J.X.); (C.L.); (X.Y.); (Y.L.); (X.W.); (H.Y.); (X.M.); (J.H.); (T.Y.); (J.L.); (H.Y.); (H.Z.); (H.H.R.)
| | - Huaxiang Yin
- Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China; (Y.L.); (N.Z.); (Q.Z.); (A.D.); (Y.Z.); (J.G.); (Z.K.); (H.L.); (J.X.); (C.L.); (X.Y.); (Y.L.); (X.W.); (H.Y.); (X.M.); (J.H.); (T.Y.); (J.L.); (H.Y.); (H.Z.); (H.H.R.)
- Microelectronics Institute, University of Chinese Academy of Sciences, Beijing 100049, China
| | - Huilong Zhu
- Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China; (Y.L.); (N.Z.); (Q.Z.); (A.D.); (Y.Z.); (J.G.); (Z.K.); (H.L.); (J.X.); (C.L.); (X.Y.); (Y.L.); (X.W.); (H.Y.); (X.M.); (J.H.); (T.Y.); (J.L.); (H.Y.); (H.Z.); (H.H.R.)
- Microelectronics Institute, University of Chinese Academy of Sciences, Beijing 100049, China
| | - Wenwu Wang
- Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China; (Y.L.); (N.Z.); (Q.Z.); (A.D.); (Y.Z.); (J.G.); (Z.K.); (H.L.); (J.X.); (C.L.); (X.Y.); (Y.L.); (X.W.); (H.Y.); (X.M.); (J.H.); (T.Y.); (J.L.); (H.Y.); (H.Z.); (H.H.R.)
- Microelectronics Institute, University of Chinese Academy of Sciences, Beijing 100049, China
- Correspondence: (J.L.); (W.W.); (G.W.); Tel.: +86-010-8299-5508 (W.W.)
| | - Henry H. Radamson
- Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China; (Y.L.); (N.Z.); (Q.Z.); (A.D.); (Y.Z.); (J.G.); (Z.K.); (H.L.); (J.X.); (C.L.); (X.Y.); (Y.L.); (X.W.); (H.Y.); (X.M.); (J.H.); (T.Y.); (J.L.); (H.Y.); (H.Z.); (H.H.R.)
- Microelectronics Institute, University of Chinese Academy of Sciences, Beijing 100049, China
- Department of Electronics Design, Mid Sweden University, Holmgatan 10, 85170 Sundsvall, Sweden
| |
Collapse
|
20
|
Martin AJ, Yatzor B. Examining the Effect of Evaporation Field on Boron Measurements in SiGe: Insights into Improving the Relationship Between APT and SIMS Measurements of Boron. Microsc Microanal 2019; 25:617-624. [PMID: 30862323 DOI: 10.1017/s1431927619000291] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.2] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/09/2023]
Abstract
Understanding and resolving discrepancies between atom probe tomography (APT) and secondary ion mass spectrometry (SIMS) measurements of B dopants in Si-based materials has long been a problem for those in the semiconductor community who wish to measure B within the source/drain SiGe of a device. APT data collection of Si-based materials is typically optimized for Si, which is logical, but perhaps not ideal for field evaporation of B. Increasing the evaporation field well beyond the typically used 28Si2+:28Si+ ratio of approximately 10:1 up to a ratio of ~200:1 is demonstrated to improve B detection while retaining well-matched Si and Ge concentrations with respect to those measured by SIMS. A range of evaporation conditions are examined from a very low field with high laser energy to an extremely high field with extremely low laser energy demonstrating problems at both far ends of the spectrum and a sweet spot when the operating conditions used produce a 28Si2+:28Si+ ratio of approximately 200:1 (in terms of total counts of each ionization state), which is more than an order of magnitude higher than normally used conditions and results in nicely matched B, Si, and Ge APT measurements with those of SIMS.
Collapse
Affiliation(s)
- Andrew J Martin
- Globalfoundries, Inc.,400 Stone Break Rd Ext, Malta, NY 12020,USA
| | - Brett Yatzor
- Globalfoundries, Inc.,400 Stone Break Rd Ext, Malta, NY 12020,USA
| |
Collapse
|
21
|
Božanić M, Sinha S. Emerging Transistor Technologies Capable of Terahertz Amplification: A Way to Re-Engineer Terahertz Radar Sensors. Sensors (Basel) 2019; 19:E2454. [PMID: 31146364 PMCID: PMC6603590 DOI: 10.3390/s19112454] [Citation(s) in RCA: 6] [Impact Index Per Article: 1.2] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Download PDF] [Figures] [Subscribe] [Scholar Register] [Received: 03/23/2019] [Revised: 05/18/2019] [Accepted: 05/20/2019] [Indexed: 11/16/2022]
Abstract
This paper reviews the state of emerging transistor technologies capable of terahertz amplification, as well as the state of transistor modeling as required in terahertz electronic circuit research. Commercial terahertz radar sensors of today are being built using bulky and expensive technologies such as Schottky diode detectors and lasers, as well as using some emerging detection methods. Meanwhile, a considerable amount of research effort has recently been invested in process development and modeling of transistor technologies capable of amplifying in the terahertz band. Indium phosphide (InP) transistors have been able to reach maximum oscillation frequency (fmax) values of over 1 THz for around a decade already, while silicon-germanium bipolar complementary metal-oxide semiconductor (BiCMOS) compatible heterojunction bipolar transistors have only recently crossed the fmax = 0.7 THz mark. While it seems that the InP technology could be the ultimate terahertz technology, according to the fmax and related metrics, the BiCMOS technology has the added advantage of lower cost and supporting a wider set of integrated component types. BiCMOS can thus be seen as an enabling factor for re-engineering of complete terahertz radar systems, for the first time fabricated as miniaturized monolithic integrated circuits. Rapid commercial deployment of monolithic terahertz radar chips, furthermore, depends on the accuracy of transistor modeling at these frequencies. Considerations such as fabrication and modeling of passives and antennas, as well as packaging of complete systems, are closely related to the two main contributions of this paper and are also reviewed here. Finally, this paper probes active terahertz circuits that have already been reported and that have the potential to be deployed in a re-engineered terahertz radar sensor system and attempts to predict future directions in re-engineering of monolithic radar sensors.
Collapse
Affiliation(s)
- Mladen Božanić
- Department of Electrical and Electronic Engineering Science, University of Johannesburg, Auckland Park, Johannesburg 2006, South Africa.
| | - Saurabh Sinha
- Deputy Vice-Chancellor: Research and Internationalization, University of Johannesburg, Auckland Park, Johannesburg 2006, South Africa.
| |
Collapse
|
22
|
Lee J, Badami O, Carrillo-Nuñez H, Berrada S, Medina-Bailon C, Dutta T, Adamu-Lema F, Georgiev VP, Asenov A. Variability Predictions for the Next Technology Generations of n-type Si xGe 1-x Nanowire MOSFETs. Micromachines (Basel) 2018; 9:E643. [PMID: 30563045 DOI: 10.3390/mi9120643] [Citation(s) in RCA: 7] [Impact Index Per Article: 1.2] [Reference Citation Analysis] [What about the content of this article? (0)] [Abstract] [Key Words] [Track Full Text] [Download PDF] [Figures] [Subscribe] [Scholar Register] [Received: 11/21/2018] [Revised: 11/29/2018] [Accepted: 11/30/2018] [Indexed: 11/17/2022]
Abstract
Using a state-of-the-art quantum transport simulator based on the effective mass approximation, we have thoroughly studied the impact of variability on SixGe1−x channel gate-all-around nanowire metal-oxide-semiconductor field-effect transistors (NWFETs) associated with random discrete dopants, line edge roughness, and metal gate granularity. Performance predictions of NWFETs with different cross-sectional shapes such as square, circle, and ellipse are also investigated. For each NWFETs, the effective masses have carefully been extracted from sp3d5s∗ tight-binding band structures. In total, we have generated 7200 transistor samples and performed approximately 10,000 quantum transport simulations. Our statistical analysis reveals that metal gate granularity is dominant among the variability sources considered in this work. Assuming the parameters of the variability sources are the same, we have found that there is no significant difference of variability between SiGe and Si channel NWFETs.
Collapse
|
23
|
Ferhati H, Djeffal F, Bentrcia T. The role of the Ge mole fraction in improving the performance of a nanoscale junctionless tunneling FET: concept and scaling capability. Beilstein J Nanotechnol 2018; 9:1856-1862. [PMID: 30013879 PMCID: PMC6037014 DOI: 10.3762/bjnano.9.177] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Figures] [Subscribe] [Scholar Register] [Received: 02/06/2018] [Accepted: 06/11/2018] [Indexed: 06/08/2023]
Abstract
In this paper, a new nanoscale double-gate junctionless tunneling field-effect transistor (DG-JL TFET) based on a Si1-x Ge x /Si/Ge heterojunction (HJ) structure is proposed to achieve an improved electrical performance. The effect of introducing the Si1-x Ge x material at the source side on improving the subthreshold behavior of the DG-JL TFET and on suppressing ambipolar conduction is investigated. Moreover, the impact of the Ge mole fraction in the proposed Si1-x Ge x source region on the electrical figures of merit (FoMs) of the transistor, including the swing factor and the ION/IOFF ratio is analyzed. It is found that the optimized design with 60 atom % of Ge offers improved switching behavior and enhanced derived current capability at the nanoscale level, with a swing factor of 42 mV/dec and an ION/IOFF ratio of 115 dB. Further, the scaling capability of the proposed Si1-x Ge x /Si/Ge DG-HJ-JL TFET structure is investigated and compared to that of a conventional Ge-DG-JL TFET design, where the optimized design exhibits an improved switching behavior at the nanoscale level. These results make the optimized device suitable for designing digital circuit for high-performance nanoelectronic applications.
Collapse
Affiliation(s)
- Hichem Ferhati
- LEA, Department of Electronics, University Mostefa Benboulaid-Batna 2, Batna 05000, Algeria
| | - Fayçal Djeffal
- LEA, Department of Electronics, University Mostefa Benboulaid-Batna 2, Batna 05000, Algeria
- LEPCM, University of Batna 1, Batna 05000, Algeria
| | | |
Collapse
|
24
|
Delgado-Notario JA, Velazquez-Perez JE, Meziani YM, Fobelets K. Sub-THz Imaging Using Non-Resonant HEMT Detectors. Sensors (Basel) 2018; 18:E543. [PMID: 29439437 DOI: 10.3390/s18020543] [Citation(s) in RCA: 9] [Impact Index Per Article: 1.5] [Reference Citation Analysis] [What about the content of this article? (0)] [Abstract] [Key Words] [Track Full Text] [Download PDF] [Figures] [Subscribe] [Scholar Register] [Received: 12/12/2017] [Revised: 02/02/2018] [Accepted: 02/06/2018] [Indexed: 11/28/2022]
Abstract
Plasma waves in gated 2-D systems can be used to efficiently detect THz electromagnetic radiation. Solid-state plasma wave-based sensors can be used as detectors in THz imaging systems. An experimental study of the sub-THz response of II-gate strained-Si Schottky-gated MODFETs (Modulation-doped Field-Effect Transistor) was performed. The response of the strained-Si MODFET has been characterized at two frequencies: 150 and 300 GHz: The DC drain-to-source voltage transducing the THz radiation (photovoltaic mode) of 250-nm gate length transistors exhibited a non-resonant response that agrees with theoretical models and physics-based simulations of the electrical response of the transistor. When imposing a weak source-to-drain current of 5 μA, a substantial increase of the photoresponse was found. This increase is translated into an enhancement of the responsivity by one order of magnitude as compared to the photovoltaic mode, while the NEP (Noise Equivalent Power) is reduced in the subthreshold region. Strained-Si MODFETs demonstrated an excellent performance as detectors in THz imaging.
Collapse
|
25
|
David T, Liu K, Ronda A, Favre L, Abbarchi M, Gailhanou M, Gentile P, Buttard D, Calvo V, Amato M, Aqua JN, Berbezier I. Tailoring Strain and Morphology of Core-Shell SiGe Nanowires by Low-Temperature Ge Condensation. Nano Lett 2017; 17:7299-7305. [PMID: 29116815 DOI: 10.1021/acs.nanolett.7b02832] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.1] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/07/2023]
Abstract
Selective oxidation of the silicon element of silicon germanium (SiGe) alloys during thermal oxidation is a very important and technologically relevant mechanism used to fabricate a variety of microelectronic devices. We develop here a simple integrative approach involving vapor-liquid-solid (VLS) growth followed by selective oxidation steps to the construction of core-shell nanowires and higher-level ordered systems with scalable configurations. We examine the selective oxidation/condensation process under nonequilibrium conditions that gives rise to spontaneous formation of core-shell structures by germanium condensation. We contrast this strategy that uses reaction-diffusion-segregation mechanisms to produce coherently strained structures with highly configurable geometry and abrupt interfaces with growth-based processes which lead to low strained systems with nonuniform composition, three-dimensional morphology, and broad core-shell interface. We specially focus on SiGe core-shell nanowires and demonstrate that they can have up to 70% Ge-rich shell and 2% homogeneous strain with core diameter as small as 14 nm. Key elements of the building process associated with this approach are identified with regard to existing theoretical models. Moreover, starting from results of ab initio calculations, we discuss the electronic structure of these novel nanostructures as well as their wide potential for advanced device applications.
Collapse
Affiliation(s)
- Thomas David
- Aix-Marseille Université - CNRS, IM2NP, Faculté des Sciences de Jérôme , F-13397 Marseille France
| | - Kailang Liu
- Aix-Marseille Université - CNRS, IM2NP, Faculté des Sciences de Jérôme , F-13397 Marseille France
| | - Antoine Ronda
- Aix-Marseille Université - CNRS, IM2NP, Faculté des Sciences de Jérôme , F-13397 Marseille France
| | - Luc Favre
- Aix-Marseille Université - CNRS, IM2NP, Faculté des Sciences de Jérôme , F-13397 Marseille France
| | - Marco Abbarchi
- Aix-Marseille Université - CNRS, IM2NP, Faculté des Sciences de Jérôme , F-13397 Marseille France
| | - Marc Gailhanou
- Aix-Marseille Université - CNRS, IM2NP, Faculté des Sciences de Jérôme , F-13397 Marseille France
| | - Pascal Gentile
- Université Grenoble Alpes, CEA INAC-Pheliqs- SiNaPS , F-38000 Grenoble, France
| | - Denis Buttard
- Université Grenoble Alpes, CEA INAC-Pheliqs- SiNaPS , F-38000 Grenoble, France
| | - Vincent Calvo
- Université Grenoble Alpes, CEA INAC-Pheliqs- SiNaPS , F-38000 Grenoble, France
| | - Michele Amato
- Laboratoire de Physique des Solides and Centre de Nanosciences et de Nanotechnologies, CNRS, Université Paris-Sud, Université Paris-Saclay , 91405 Orsay, France
| | | | - Isabelle Berbezier
- Aix-Marseille Université - CNRS, IM2NP, Faculté des Sciences de Jérôme , F-13397 Marseille France
| |
Collapse
|
26
|
Osborn W, Friedman LH, Vaudin M. Strain measurement of 3D structured nanodevices by EBSD. Ultramicroscopy 2018; 184:88-93. [PMID: 28863279 DOI: 10.1016/j.ultramic.2017.08.009] [Citation(s) in RCA: 5] [Impact Index Per Article: 0.7] [Reference Citation Analysis] [What about the content of this article? (0)] [Abstract] [Key Words] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Received: 06/03/2016] [Revised: 08/16/2017] [Accepted: 08/20/2017] [Indexed: 11/21/2022]
Abstract
We present a new methodology to accurately measure strain magnitudes from 3D nanodevices using Electron Backscatter Diffraction (EBSD). Because the dimensions of features on these devices are smaller than the interaction volume for backscattered electrons, EBSD patterns from 3D nanodevices will frequently be the superposition of patterns from multiple material regions simultaneously. The effect of this superposition on EBSD strain measurement is demonstrated, along with an approach to separate EBSD patterns from these devices via subtraction. The subtraction procedure is applied to 33 nm wide SiGe lines, and it provides accurate strain magnitudes where the traditional EBSD strain analysis method undervalues the strain magnitude by an order of magnitude. The approach provides a strain measurement technique for nanoscale 3D structures that is high spatial resolution, nondestructive, and accurate.
Collapse
|
27
|
Bender H, Seidel F, Favia P, Richard O, Vandervorst W. X-ray absorption in pillar shaped transmission electron microscopy specimens. Ultramicroscopy 2017; 177:58-68. [PMID: 28292687 DOI: 10.1016/j.ultramic.2017.03.006] [Citation(s) in RCA: 4] [Impact Index Per Article: 0.6] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Received: 10/12/2016] [Revised: 01/11/2017] [Accepted: 03/05/2017] [Indexed: 11/25/2022]
Abstract
The dependence of the X-ray absorption on the position in a pillar shaped transmission electron microscopy specimen is modeled for X-ray analysis with single and multiple detector configurations and for different pillar orientations relative to the detectors. Universal curves, applicable to any pillar diameter, are derived for the relative intensities between weak and medium or strongly absorbed X-ray emission. For the configuration as used in 360° X-ray tomography, the absorption correction for weak and medium absorbed X-rays is shown to be nearly constant along the pillar diameter. Absorption effects in pillars are about a factor 3 less important than in planar specimens with thickness equal to the pillar diameter. A practical approach for the absorption correction in pillar shaped samples is proposed and its limitations discussed. The modeled absorption dependences are verified experimentally for pillars with HfO2 and SiGe stacks.
Collapse
Affiliation(s)
- H Bender
- Imec, Kapeldreef 75, 3001 Leuven, Belgium.
| | - F Seidel
- Imec, Kapeldreef 75, 3001 Leuven, Belgium
| | - P Favia
- Imec, Kapeldreef 75, 3001 Leuven, Belgium
| | - O Richard
- Imec, Kapeldreef 75, 3001 Leuven, Belgium
| | - W Vandervorst
- Imec, Kapeldreef 75, 3001 Leuven, Belgium; Instituut voor Kern- en Stralingsfysica, KU Leuven, 3001 Leuven, Belgium
| |
Collapse
|
28
|
Hoang VV, Cho Y, Yoo JH, Hong SK, Choi YH, Choi S, Jung W, Jeong CK, Yang JM. Strain mapping in a nanoscale-triangular SiGe pattern by dark-field electron holography with medium magnification mode. Microscopy (Oxf) 2016; 65:499-507. [PMID: 27609112 DOI: 10.1093/jmicro/dfw036] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.1] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Received: 05/16/2016] [Accepted: 08/10/2016] [Indexed: 11/14/2022] Open
Abstract
Recent years have seen a great deal of progress in the development of transmission electron microscopy-based techniques for strain measurement. Dark-field electron holography (DFEH) is a new technique offering configuration of the off-axis principle. Using this technique with medium magnification (Holo-M), we carried out strain measurements in nanoscale-triangular SiGe/(001) Si with (004), (2-20) and (-111) diffraction spots. The reconstruction of holograms and interpretation of strain maps in term of strain precision were discussed and the strain distributions in the SiGe/(001) Si patterns were visualized. Based on linear anisotropic elastic theory for strain simulation, the simulated results obtained by the finite element method compared with the experimental results acquired by DFEH. The strain values were found to be 0.9-1.0%, 1.1-1.2% and 1.0-1.1%, for the (004), (2-20) and (-111) diffracted beams, respectively, and the strain precisions were determined to be ~2.1 × 10-3, 3.2 × 10-3 and 9.1 × 10-3 for the corresponding diffraction spots. As a result, DFEH is highlighted as a powerful technique for strain measurement, offering high-strain precision, high-spatial resolution and a large field of view.
Collapse
Affiliation(s)
- Van Vuong Hoang
- Department of Measurement and Analysis, National Nanofab Center, Daejeon 34141.,Department of Materials Science and Engineering, Chungnam National University, Daejeon 34134
| | - Youngji Cho
- Department of Measurement and Analysis, National Nanofab Center, Daejeon 34141
| | - Jung Ho Yoo
- Department of Measurement and Analysis, National Nanofab Center, Daejeon 34141
| | - Soon-Ku Hong
- Department of Materials Science and Engineering, Chungnam National University, Daejeon 34134
| | - Yong Ho Choi
- Department of Aero-Materials Engineering, Jungwon University, Chungbuk, Goesan 28024
| | - Sungha Choi
- FC R&D Team, Eugene Technology, Co., Ltd, Gyeonggi, Yongin 17156
| | - Wooduck Jung
- FC R&D Team, Eugene Technology, Co., Ltd, Gyeonggi, Yongin 17156
| | - Chang Kyu Jeong
- Department of Materials Science and Engineering, Korea Advanced Institute of Science and Technology (KAIST), 291 Daehak-ro, Yuseong-gu, Daejeon 34141.,KAIST Institute for the NanoCentury (KINC), 291 Daehak-ro, Yuseong-gu, Daejeon 34141, Republic of Korea
| | - Jun-Mo Yang
- Department of Measurement and Analysis, National Nanofab Center, Daejeon 34141
| |
Collapse
|
29
|
Zhang L, Guo Y, Hassan VV, Tang K, Foad MA, Woicik JC, Pianetta P, Robertson J, McIntyre PC. Interface Engineering for Atomic Layer Deposited Alumina Gate Dielectric on SiGe Substrates. ACS Appl Mater Interfaces 2016; 8:19110-19118. [PMID: 27345195 DOI: 10.1021/acsami.6b03331] [Citation(s) in RCA: 2] [Impact Index Per Article: 0.3] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/06/2023]
Abstract
Optimization of the interface between high-k dielectrics and SiGe substrates is a challenging topic due to the complexity arising from the coexistence of Si and Ge interfacial oxides. Defective high-k/SiGe interfaces limit future applications of SiGe as a channel material for electronic devices. In this paper, we identify the surface layer structure of as-received SiGe and Al2O3/SiGe structures based on soft and hard X-ray photoelectron spectroscopy. As-received SiGe substrates have native SiOx/GeOx surface layers, where the GeOx-rich layer is beneath a SiOx-rich surface. Silicon oxide regrows on the SiGe surface during Al2O3 atomic layer deposition, and both SiOx and GeOx regrow during forming gas anneal in the presence of a Pt gate metal. The resulting mixed SiOx-GeOx interface layer causes large interface trap densities (Dit) due to distorted Ge-O bonds across the interface. In contrast, we observe that oxygen-scavenging Al top gates decompose the underlying SiOx/GeOx, in a selective fashion, leaving an ultrathin SiOx interfacial layer that exhibits dramatically reduced Dit.
Collapse
Affiliation(s)
- Liangliang Zhang
- Department of Electrical Engineering, Stanford University , Stanford, California 94305, United States
| | - Yuzheng Guo
- Engineering Department, Cambridge University , Cambridge CB2 1PZ, United Kingdom
| | | | - Kechao Tang
- Department of Materials Science and Engineering, Stanford University , Stanford, California 94305, United States
| | - Majeed A Foad
- Applied Materials , Santa Clara, California 95054, United States
| | - Joseph C Woicik
- Materials Science and Engineering Laboratory, National Institute of Standards and Technology , Gaithersburg, Maryland 20899, United States
| | - Piero Pianetta
- SLAC National Accelerator Center , Menlo Park, California 94025, United States
| | - John Robertson
- Engineering Department, Cambridge University , Cambridge CB2 1PZ, United Kingdom
| | - Paul C McIntyre
- Department of Materials Science and Engineering, Stanford University , Stanford, California 94305, United States
| |
Collapse
|
30
|
Isa F, Salvalaglio M, Dasilva YAR, Meduňa M, Barget M, Jung A, Kreiliger T, Isella G, Erni R, Pezzoli F, Bonera E, Niedermann P, Gröning P, Montalenti F, von Känel H. Highly Mismatched, Dislocation-Free SiGe/Si Heterostructures. Adv Mater 2016; 28:884-888. [PMID: 26829168 DOI: 10.1002/adma.201504029] [Citation(s) in RCA: 10] [Impact Index Per Article: 1.3] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 08/18/2015] [Revised: 11/02/2015] [Indexed: 06/05/2023]
Abstract
Defect-free mismatched heterostructures on Si substrates are produced by an innovative strategy. The strain relaxation is engineered to occur elastically rather than plastically by combining suitable substrate patterning and vertical crystal growth with compositional grading. Its validity is proven both experimentally and theoretically for the pivotal case of SiGe/Si(001).
Collapse
Affiliation(s)
- Fabio Isa
- Laboratory for Solid State Physics, ETH Zürich, Otto-Stern-Weg 1, CH-, 8093, Zürich, Switzerland
| | - Marco Salvalaglio
- L-NESS and Department of Materials Science, Università di Milano-Bicocca, Via Cozzi 55 I-, 20125, Milano, Italy
| | - Yadira Arroyo Rojas Dasilva
- Electron Microscopy Center EMPA, Swiss Federal Laboratories for Materials, Science and Technology, Überlandstrasse 129, CH, -8600, Dübendorf, Switzerland
| | - Mojmír Meduňa
- Department of Condensed Matter Physics, Masaryk University, Kotlárˇská 2, 61137, Brno, Czech Republic
- CEITEC, Masaryk University, Kamenice 5, 60177, Brno, Czech Republic
| | - Michael Barget
- L-NESS and Department of Materials Science, Università di Milano-Bicocca, Via Cozzi 55 I-, 20125, Milano, Italy
| | - Arik Jung
- Laboratory for Solid State Physics, ETH Zürich, Otto-Stern-Weg 1, CH-, 8093, Zürich, Switzerland
| | - Thomas Kreiliger
- Laboratory for Solid State Physics, ETH Zürich, Otto-Stern-Weg 1, CH-, 8093, Zürich, Switzerland
| | - Giovanni Isella
- L-NESS and Department of Physics, Politecnico di Milano, Via Anzani 42, I-22100, Como, Italy
| | - Rolf Erni
- Electron Microscopy Center EMPA, Swiss Federal Laboratories for Materials, Science and Technology, Überlandstrasse 129, CH, -8600, Dübendorf, Switzerland
| | - Fabio Pezzoli
- L-NESS and Department of Materials Science, Università di Milano-Bicocca, Via Cozzi 55 I-, 20125, Milano, Italy
| | - Emiliano Bonera
- L-NESS and Department of Materials Science, Università di Milano-Bicocca, Via Cozzi 55 I-, 20125, Milano, Italy
| | | | - Pierangelo Gröning
- Electron Microscopy Center EMPA, Swiss Federal Laboratories for Materials, Science and Technology, Überlandstrasse 129, CH, -8600, Dübendorf, Switzerland
| | - Francesco Montalenti
- L-NESS and Department of Materials Science, Università di Milano-Bicocca, Via Cozzi 55 I-, 20125, Milano, Italy
| | - Hans von Känel
- Laboratory for Solid State Physics, ETH Zürich, Otto-Stern-Weg 1, CH-, 8093, Zürich, Switzerland
| |
Collapse
|
31
|
Chagarov E, Sardashti K, Kaufman-Osborn T, Madisetti S, Oktyabrsky S, Sahu B, Kummel A. Density-Functional Theory Molecular Dynamics Simulations and Experimental Characterization of a-Al₂O₃/ SiGe Interfaces. ACS Appl Mater Interfaces 2015; 7:26275-26283. [PMID: 26575590 DOI: 10.1021/acsami.5b08727] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.1] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/05/2023]
Abstract
Density-functional theory molecular dynamics simulations were employed to investigate direct interfaces between a-Al2O3 and Si0.50Ge0.50 with Si- and Ge-terminations. The simulated stacks revealed mixed interfacial bonding. While Si-O and Ge-O bonds are unlikely to be problematic, bonding between Al and Si or Ge could result in metallic bond formation; however, the internal bonds of a-Al2O3 are sufficiently strong to allow just weak Al bonding to the SiGe surface thereby preventing formation of metallic-like states but leave dangling bonds. The oxide/SiGe band gaps were unpinned and close to the SiGe bulk band gap. The interfaces had SiGe dangling bonds, but they were sufficiently filled that they did not produce midgap states. Capacitance-voltage (C-V) spectroscopy and angle-resolved X-ray photoelectron spectroscopy experimentally confirmed formation of interfaces with low interface trap density via direct bonding between a-Al2O3 and SiGe.
Collapse
Affiliation(s)
- Evgueni Chagarov
- Department of Chemistry and Biochemistry, University of California, San Diego , La Jolla, California 92093, United States
| | - Kasra Sardashti
- Department of Chemistry and Biochemistry, University of California, San Diego , La Jolla, California 92093, United States
| | | | | | - Serge Oktyabrsky
- Department of Nanoscale Science and Engineering, University at Albany-State University of New York , Albany, New York 12222, United States
| | - Bhagawan Sahu
- GlobalFoundries , Malta, New York 12020, United States
| | - Andrew Kummel
- Department of Chemistry and Biochemistry, University of California, San Diego , La Jolla, California 92093, United States
| |
Collapse
|
32
|
Ma JW, Lee WJ, Bae JM, Jeong KS, Oh SH, Kim JH, Kim SH, Seo JH, Ahn JP, Kim H, Cho MH. Carrier Mobility Enhancement of Tensile Strained Si and SiGe Nanowires via Surface Defect Engineering. Nano Lett 2015; 15:7204-7210. [PMID: 26492109 DOI: 10.1021/acs.nanolett.5b01634] [Citation(s) in RCA: 29] [Impact Index Per Article: 3.2] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/05/2023]
Abstract
Changes in the carrier mobility of tensile strained Si and SiGe nanowires (NWs) were examined using an electrical push-to-pull device (E-PTP, Hysitron). The changes were found to be closely related to the chemical structure at the surface, likely defect states. As tensile strain is increased, the resistivity of SiGe NWs deceases in a linear manner. However, the corresponding values for Si NWs increased with increasing tensile strain, which is closely related to broken bonds induced by defects at the NW surface. Broken bonds at the surface, which communicate with the defect state of Si are critically altered when Ge is incorporated in Si NW. In addition, the number of defects could be significantly decreased in Si NWs by incorporating a surface passivated Al2O3 layer, which removes broken bonds, resulting in a proportional decrease in the resistivity of Si NWs with increasing strain. Moreover, the presence of a passivation layer dramatically increases the extent of fracture strain in NWs, and a significant enhancement in mobility of about 2.6 times was observed for a tensile strain of 5.7%.
Collapse
Affiliation(s)
- J W Ma
- Institute of Physics and Applied Physics, Yonsei University , Seoul 120-749, Korea
| | - W J Lee
- Institute of Physics and Applied Physics, Yonsei University , Seoul 120-749, Korea
| | - J M Bae
- Institute of Physics and Applied Physics, Yonsei University , Seoul 120-749, Korea
| | - K S Jeong
- Institute of Physics and Applied Physics, Yonsei University , Seoul 120-749, Korea
| | - S H Oh
- Institute of Physics and Applied Physics, Yonsei University , Seoul 120-749, Korea
| | - J H Kim
- Institute of Physics and Applied Physics, Yonsei University , Seoul 120-749, Korea
| | - S-H Kim
- Nano Analysis Center, KIST , Seoul 130-650, Korea
| | - J-H Seo
- Nano Analysis Center, KIST , Seoul 130-650, Korea
| | - J-P Ahn
- Nano Analysis Center, KIST , Seoul 130-650, Korea
| | - H Kim
- School of Advanced Materials Science and Engineering, Sungkyunkwan University , Suwon 440-746, Korea
| | - M-H Cho
- Institute of Physics and Applied Physics, Yonsei University , Seoul 120-749, Korea
| |
Collapse
|
33
|
Groiss H, Glaser M, Marzegalli A, Isa F, Isella G, Miglio L, Schäffler F. Burgers Vector Analysis of Vertical Dislocations in Ge Crystals by Large-Angle Convergent Beam Electron Diffraction. Microsc Microanal 2015; 21:637-645. [PMID: 25939606 DOI: 10.1017/s1431927615000537] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.1] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/04/2023]
Abstract
By transmission electron microscopy with extended Burgers vector analyses, we demonstrate the edge and screw character of vertical dislocations (VDs) in novel SiGe heterostructures. The investigated pillar-shaped Ge epilayers on prepatterned Si(001) substrates are an attempt to avoid the high defect densities of lattice mismatched heteroepitaxy. The Ge pillars are almost completely strain-relaxed and essentially defect-free, except for the rather unexpected VDs. We investigated both pillar-shaped and unstructured Ge epilayers grown either by molecular beam epitaxy or by chemical vapor deposition to derive a general picture of the underlying dislocation mechanisms. For the Burgers vector analysis we used a combination of dark field imaging and large-angle convergent beam electron diffraction (LACBED). With LACBED simulations we identify ideally suited zeroth and second order Laue zone Bragg lines for an unambiguous determination of the three-dimensional Burgers vectors. By analyzing dislocation reactions we confirm the origin of the observed types of VDs, which can be efficiently distinguished by LACBED. The screw type VDs are formed by a reaction of perfect 60° dislocations, whereas the edge types are sessile dislocations that can be formed by cross-slips and climbing processes. The understanding of these origins allows us to suggest strategies to avoid VDs.
Collapse
Affiliation(s)
- Heiko Groiss
- 1Institute of Semiconductor and Solid State Physics,Johannes Kepler University Linz,Altenbergerstr. 69,4040 Linz,Austria
| | - Martin Glaser
- 1Institute of Semiconductor and Solid State Physics,Johannes Kepler University Linz,Altenbergerstr. 69,4040 Linz,Austria
| | - Anna Marzegalli
- 2L-NESS and Department of Materials Science,Università degli Studi di Milano-Bicocca,via Cozzi 53,20125 Milano,Italy
| | - Fabio Isa
- 3L-NESS and Department of Physics,Politecnico di Milano,via Anzani 42,22100 Como,Italy
| | - Giovanni Isella
- 3L-NESS and Department of Physics,Politecnico di Milano,via Anzani 42,22100 Como,Italy
| | - Leo Miglio
- 2L-NESS and Department of Materials Science,Università degli Studi di Milano-Bicocca,via Cozzi 53,20125 Milano,Italy
| | - Friedrich Schäffler
- 1Institute of Semiconductor and Solid State Physics,Johannes Kepler University Linz,Altenbergerstr. 69,4040 Linz,Austria
| |
Collapse
|
34
|
Cui J, Lin JH, Wu YQ, Fan YL, Zhong Z, Yang XJ, Jiang ZM. Formation of Nanopits in Si Capping Layers on SiGe Quantum Dots. Nanoscale Res Lett 2011; 6:59. [PMID: 27502681 PMCID: PMC3212206 DOI: 10.1007/s11671-010-9811-y] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.1] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 08/10/2010] [Accepted: 09/15/2010] [Indexed: 06/01/2023]
Abstract
In-situ annealing at a high temperature of 640°C was performed for a low temperature grown Si capping layer, which was grown at 300°C on SiGe self-assembled quantum dots with a thickness of 50 nm. Square nanopits, with a depth of about 8 nm and boundaries along 〈110〉, are formed in the Si capping layer after annealing. Cross-sectional transmission electron microscopy observation shows that each nanopit is located right over one dot with one to one correspondence. The detailed migration of Si atoms for the nanopit formation is revealed by in-situ annealing at a low temperature of 540°C. The final well-defined profiles of the nanopits indicate that both strain energy and surface energy play roles during the nanopit formation, and the nanopits are stable at 640°C. A subsequent growth of Ge on the nanopit-patterned surface results in the formation of SiGe quantum dot molecules around the nanopits.
Collapse
Affiliation(s)
- Jian Cui
- State Key Laboratory of Surface Physics, Fudan University, 200433, Shanghai, People's Republic of China
| | - Jian Hui Lin
- State Key Laboratory of Surface Physics, Fudan University, 200433, Shanghai, People's Republic of China
| | - Yue Qin Wu
- State Key Laboratory of Surface Physics, Fudan University, 200433, Shanghai, People's Republic of China
| | - Yong Liang Fan
- State Key Laboratory of Surface Physics, Fudan University, 200433, Shanghai, People's Republic of China
| | - Zhenyang Zhong
- State Key Laboratory of Surface Physics, Fudan University, 200433, Shanghai, People's Republic of China
| | - Xin Ju Yang
- State Key Laboratory of Surface Physics, Fudan University, 200433, Shanghai, People's Republic of China
| | - Zui Min Jiang
- State Key Laboratory of Surface Physics, Fudan University, 200433, Shanghai, People's Republic of China.
| |
Collapse
|
35
|
Pezzoli F, Stoffel M, Merdzhanova T, Rastelli A, Schmidt OG. Alloying and Strain Relaxation in SiGe Islands Grown on Pit-Patterned Si(001) Substrates Probed by Nanotomography. Nanoscale Res Lett 2009; 4:1073-7. [PMID: 20596332 PMCID: PMC2894314 DOI: 10.1007/s11671-009-9360-4] [Citation(s) in RCA: 5] [Impact Index Per Article: 0.3] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 04/30/2009] [Accepted: 05/24/2009] [Indexed: 05/25/2023]
Abstract
The three-dimensional composition profiles of individual SiGe/Si(001) islands grown on planar and pit-patterned substrates are determined by atomic force microscopy (AFM)-based nanotomography. The observed differences in lateral and vertical composition gradients are correlated with the island morphology. This approach allowed us to employ AFM to simultaneously gather information on the composition and strain of SiGe islands. Our quantitative analysis demonstrates that for islands with a fixed aspect ratio, a modified geometry of the substrate provides an enhancement of the relaxation, finally leading to a reduced intermixing.
Collapse
Affiliation(s)
- F Pezzoli
- Institute for Integrative Nanosciences, IFW Dresden, Helmholtzstraße 20, 01069, Dresden, Germany
| | - M Stoffel
- Institute for Integrative Nanosciences, IFW Dresden, Helmholtzstraße 20, 01069, Dresden, Germany
- Institut für Halbleitertechnik, Pfaffenwaldring 47, 70569, Stuttgart, Germany
| | - T Merdzhanova
- Max-Planck-Institut für Festkörperforschung, Heisenbergstraße 1, 70569, Stuttgart, Germany
| | - A Rastelli
- Institute for Integrative Nanosciences, IFW Dresden, Helmholtzstraße 20, 01069, Dresden, Germany
| | - OG Schmidt
- Institute for Integrative Nanosciences, IFW Dresden, Helmholtzstraße 20, 01069, Dresden, Germany
| |
Collapse
|