1
|
Zhang L, Huang CH, Cyu RH, Chueh YL, Nomura K. Ultrathin α-Bi 2O 3 Thin-Film Transistor for Cost-Effective Oxide-TFT Inverters. ACS APPLIED MATERIALS & INTERFACES 2024; 16:60548-60555. [PMID: 39450827 DOI: 10.1021/acsami.4c13319] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 10/26/2024]
Abstract
Electronics is advancing toward greater diversity and sustainability by prioritizing energy efficiency and cost-effectiveness. Metal oxide thin-film transistor (TFT) represents a technology at the forefront of advancing next-generation sustainable electronics, and exploring oxide channel compositions is a crucial step in opening opportunities for developing next-generation device applications. This study presents the first development of n-channel α-Bi2O3-TFTs using a 4 nm ultrathin channel prepared by a cost-effective vacuum-free and solvent-free liquid metal printing method in ambient air. Even the pristine device exhibited a clear TFT action but required a large negative gate bias to turn off due mainly to excess carriers from oxygen vacancy in the α-Bi2O3 channel. Oxygen-containing post-annealing reduced both channel carrier and subgap defect densities, enabling the development of depletion and enhancement-type α-Bi2O3-TFTs with the saturation mobility of 2-4 cm2 V-1 s-1. Two types of oxide-TFT-based inverter circuits, zero-VGS-NMOS and CMOS inverters, were fabricated by using α-Bi2O3-TFTs, operating in a high voltage gain of over 130. This work demonstrates the potential of oxide semiconductor materials toward the development of next-generation sustainable electronics.
Collapse
Affiliation(s)
- Liang Zhang
- Material Science and Engineering Program, University of California San Diego, La Jolla, California 92093, United States
| | - Chi-Hsin Huang
- Department of Electrical and Computer Engineering, University of California San Diego, La Jolla, California 92093, United States
| | - Ruei-Hong Cyu
- Department of Materials Science and Engineering, National Tsing Hua University, Hsinchu 30013, Taiwan
| | - Yu-Lun Chueh
- Department of Materials Science and Engineering, National Tsing Hua University, Hsinchu 30013, Taiwan
| | - Kenji Nomura
- Material Science and Engineering Program, University of California San Diego, La Jolla, California 92093, United States
- Department of Electrical and Computer Engineering, University of California San Diego, La Jolla, California 92093, United States
| |
Collapse
|
2
|
Devnath A, Bae J, Alimkhanuly B, Lee G, Lee S, Kadyrov A, Patil S, Lee DS. Ultralow-Power Circuit and Sensing Applications Based on Subthermionic Threshold Switching Transistors. ACS NANO 2024; 18:30497-30511. [PMID: 39451007 DOI: 10.1021/acsnano.4c08650] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 10/26/2024]
Abstract
The most recent breakthrough in state-of-the-art electronics and optoelectronics involves the adoption of steep-slope field-effect transistors (FETs), promoting sub-60 mV/dec subthreshold swing (SS) at ambient temperature, effectively overcoming "Boltzmann limit" to minimize power consumption. Here, a series integration of nanoscale copper-based resistive-filamentary threshold switch (TS) with the IGZO channel-based FET is used to develop a TS-FET, in which the turn-on characteristics exhibit an abrupt transition over five decades, with an extremely low SS of 7 mV/dec, a high on/off ratio (>109), and ultralow leakage current (40-fold decrease), ensuring excellent repeatability and device yield. Unlike previous device-centric studies, this work highlights potential circuit applications (logic-inverter, pulse-sensor amplification, and photodetector) based on TS-FET. The sharp transition behavior of TS-FET enables the establishment of logic inverters with a high voltage gain of ≈800, with a circuit-level demonstration achieving a bias-independent record-high intrinsic gain (>1000). A wearable pulse sensor integrated with an amplifier circuit ensured the precise amplification of electrophysical signals by 450 times. In addition, the application of a TS-FET-based photodetector features high responsivity (1.08 × 104 mA/W) and detectivity (1.03 × 1020 Jones). The low-power strategy of TS-FETs is promising for the development of energy-efficient integrated circuits alongside sensor-interconnected biomedical applications in wearable technology.
Collapse
Affiliation(s)
- Anupom Devnath
- Department of Electronics and Information Convergence Engineering, Kyung Hee University, Yongin-si, Gyeonggi-do 17104, Republic of Korea
| | - Junseong Bae
- Department of Electronics and Information Convergence Engineering, Kyung Hee University, Yongin-si, Gyeonggi-do 17104, Republic of Korea
| | - Batyrbek Alimkhanuly
- Department of Electronics and Information Convergence Engineering, Kyung Hee University, Yongin-si, Gyeonggi-do 17104, Republic of Korea
| | - Gisung Lee
- Department of Electronics and Information Convergence Engineering, Kyung Hee University, Yongin-si, Gyeonggi-do 17104, Republic of Korea
| | - Seunghyun Lee
- Department of Electronics and Information Convergence Engineering, Kyung Hee University, Yongin-si, Gyeonggi-do 17104, Republic of Korea
| | - Arman Kadyrov
- Department of Electronics and Information Convergence Engineering, Kyung Hee University, Yongin-si, Gyeonggi-do 17104, Republic of Korea
| | - Shubham Patil
- Department of Electronics and Information Convergence Engineering, Kyung Hee University, Yongin-si, Gyeonggi-do 17104, Republic of Korea
| | - Dr Seunghyun Lee
- Department of Electronics and Information Convergence Engineering, Kyung Hee University, Yongin-si, Gyeonggi-do 17104, Republic of Korea
| |
Collapse
|
3
|
Shangguan Q, Lv Y, Jiang C. A Review of Wide Bandgap Semiconductors: Insights into SiC, IGZO, and Their Defect Characteristics. NANOMATERIALS (BASEL, SWITZERLAND) 2024; 14:1679. [PMID: 39453015 PMCID: PMC11510050 DOI: 10.3390/nano14201679] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 09/15/2024] [Revised: 10/13/2024] [Accepted: 10/17/2024] [Indexed: 10/26/2024]
Abstract
Although the irreplaceable position of silicon (Si) semiconductor materials in the field of information has become a consensus, new materials continue to be sought to expand the application range of semiconductor devices. Among them, research on wide bandgap semiconductors has already achieved preliminary success, and the relevant achievements have been applied in the fields of energy conversion, display, and storage. However, similar to the history of Si, the immature material grown and device manufacturing processes at the current stage seriously hinder the popularization of wide bandgap semiconductor-based applications, and one of the crucial issues behind this is the defect problem. Here, we take amorphous indium gallium zinc oxide (a-IGZO) and 4H silicon carbide (4H-SiC) as two representatives to discuss physical/mechanical properties, electrical performance, and stability from the perspective of defects. Relevant experimental and theoretical works on defect formation, evolution, and annihilation are summarized, and the impacts on carrier transport behaviors are highlighted. State-of-the-art applications using the two materials are also briefly reviewed. This review aims to assist researchers in elucidating the complex impacts of defects on electrical behaviors of wide bandgap semiconductors, enabling them to make judgments on potential defect issues that may arise in their own processes. It aims to contribute to the effort of using various post-treatment methods to control defect behaviors and achieve the desired material and device performance.
Collapse
Affiliation(s)
- Qiwei Shangguan
- School of Physics and Electronics, Hunan University, Changsha 410082, China;
| | - Yawei Lv
- School of Physics and Electronics, Hunan University, Changsha 410082, China;
| | - Changzhong Jiang
- School of Physics and Electronics, Hunan University, Changsha 410082, China;
- College of Materials Science and Engineering, Hunan University, Changsha 410082, China
| |
Collapse
|
4
|
Ghediya PR, Magari Y, Sadahira H, Endo T, Furuta M, Zhang Y, Matsuo Y, Ohta H. Reliable Operation in High-Mobility Indium Oxide Thin Film Transistors. SMALL METHODS 2024:e2400578. [PMID: 39096069 DOI: 10.1002/smtd.202400578] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 04/23/2024] [Revised: 07/17/2024] [Indexed: 08/04/2024]
Abstract
Transparent oxide semiconductors (TOSs) based thin-film transistors (TFTs) that exhibit higher field effect mobility (µFE) are highly required toward the realization of next-generation displays. Among numerous types of TOS-TFTs, In2O3-based TFTs are the front-running candidate because they exhibit the highest µFE ≈100 cm2 V-1 s-1. However, the device operation of In2O3 TFTs is unreliable; a large voltage shift occurs especially when negative gate bias is applied due to adsorption/desorption of gas molecules. Although passivation of the TFTs is used to overcome such instability, previously proposed passivation materials do not improve the reliability. Here, it is shown that the In2O3 TFTs passivated with Y2O3 and Er2O3 films are highly reliable and do not show threshold voltage shifts when applying gate bias. Positive and negative gate bias is applied to the In2O3 TFTs passivated with various insulating oxides and found that only the In2O3 TFTs passivated with Y2O3 and Er2O3 films do not exhibit threshold voltage shifts. It is observed that only the Y2O3 grew heteroepitaxially on the In2O3 crystal. This is the origin of the high reliability of the In2O3 TFTs passivated with Y2O3 and Er2O3 films. This finding accelerates the development of next-generation displays using high-mobility In2O3 TFTs.
Collapse
Affiliation(s)
- Prashant R Ghediya
- Research Institute for Electronic Science, Hokkaido University, N20W10, Kita, Sapporo, 001-0020, Japan
| | - Yusaku Magari
- Research Institute for Electronic Science, Hokkaido University, N20W10, Kita, Sapporo, 001-0020, Japan
| | - Hikaru Sadahira
- Graduate School of Information Science and Technology, Hokkaido University, N14W9, Kita, Sapporo, 060-0814, Japan
| | - Takashi Endo
- Research Institute for Electronic Science, Hokkaido University, N20W10, Kita, Sapporo, 001-0020, Japan
| | - Mamoru Furuta
- School of Environmental Science and Engineering, Kochi University of Technology, Kami, Kochi, 782-8502, Japan
| | - Yuqiao Zhang
- Institute of Quantum and Sustainable Technology, Jiangsu University, Zhenjiang, 212013, China
| | - Yasutaka Matsuo
- Research Institute for Electronic Science, Hokkaido University, N20W10, Kita, Sapporo, 001-0020, Japan
| | - Hiromichi Ohta
- Research Institute for Electronic Science, Hokkaido University, N20W10, Kita, Sapporo, 001-0020, Japan
| |
Collapse
|
5
|
Kang M, Cho K, Seol M, Kim S, Kim S. Effect of interface defects on electrical characteristics of a-ITGZO TFTs under bottom, top, and dual gatings. Heliyon 2024; 10:e34134. [PMID: 39071708 PMCID: PMC11283065 DOI: 10.1016/j.heliyon.2024.e34134] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Download PDF] [Figures] [Journal Information] [Subscribe] [Scholar Register] [Received: 12/07/2023] [Revised: 02/27/2024] [Accepted: 07/03/2024] [Indexed: 07/30/2024] Open
Abstract
Here, we investigate the effects of interface defects on the electrical characteristics of amorphous indium-tin-gallium-zinc oxide (a-ITGZO) thin-film transistors (TFTs) utilizing bottom, top, and dual gatings. The field-effect mobility (27.3 cm2/V∙s) and subthreshold swing (222 mV/decade) under a dual gating is substantially better than those under top (12.6 cm2/V∙s, 301 mV/decade) and bottom (11.1 cm2/V∙s, 487 mV/decade) gatings. For an a-ITGZO TFT, oxygen deficiencies are more prevalent in the bottom-gate dielectric interface than in the top-gate dielectric interface, and they are less prevalent inside the channel layer than at the interfaces, indicating that the presence of oxygen deficiencies significantly affects the field-effect mobility and subthreshold swing. Moreover, the variation in the electrical characteristics due to the positive bias stress is discussed here.
Collapse
Affiliation(s)
- Mingu Kang
- Department of Electrical Engineering, Korea University, Anam-ro 145, Seongbuk-gu, Seoul, 02841, Republic of Korea
| | - Kyoungah Cho
- Department of Electrical Engineering, Korea University, Anam-ro 145, Seongbuk-gu, Seoul, 02841, Republic of Korea
| | - Minhyeok Seol
- Department of Electrical Engineering, Korea University, Anam-ro 145, Seongbuk-gu, Seoul, 02841, Republic of Korea
| | - Sangsub Kim
- Display Research Center, Samsung Display, Samseong-ro 1, Giheung-gu, Yongin-si, Gyeonggi-do, 17113, Republic of Korea
| | - Sangsig Kim
- Department of Electrical Engineering, Korea University, Anam-ro 145, Seongbuk-gu, Seoul, 02841, Republic of Korea
| |
Collapse
|
6
|
Franco M, Kiazadeh A, Deuermeier J, Lanceros-Méndez S, Martins R, Carlos E. Inkjet printed IGZO memristors with volatile and non-volatile switching. Sci Rep 2024; 14:7469. [PMID: 38553556 PMCID: PMC10980760 DOI: 10.1038/s41598-024-58228-y] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Figures] [Journal Information] [Subscribe] [Scholar Register] [Received: 12/20/2023] [Accepted: 03/26/2024] [Indexed: 04/02/2024] Open
Abstract
Solution-based memristors deposited by inkjet printing technique have a strong technological potential based on their scalability, low cost, environmentally friendlier processing by being an efficient technique with minimal material waste. Indium-gallium-zinc oxide (IGZO), an oxide semiconductor material, shows promising resistive switching properties. In this work, a printed Ag/IGZO/ITO memristor has been fabricated. The IGZO thickness influences both memory window and switching voltage of the devices. The devices show both volatile counter8wise (c8w) and non-volatile 8wise (8w) switching at low operating voltage. The 8w switching has a SET and RESET voltage lower than 2 V and - 5 V, respectively, a retention up to 105 s and a memory window up to 100, whereas the c8w switching shows volatile characteristics with a low threshold voltage (Vth < - 0.65 V) and a characteristic time (τ) of 0.75 ± 0.12 ms when a single pulse of - 0.65 V with width of 0.1 ms is applied. The characteristic time alters depending on the number of pulses. These volatile characteristics allowed them to be tested on different 4-bit pulse sequences, as an initial proof of concept for temporal signal processing applications.
Collapse
Affiliation(s)
- Miguel Franco
- Center of Physics, University of Minho and Laboratory of Physics for Materials and Emergent Technologies, LapMET, Campus de Gualtar, 4710-057, Braga, Portugal
- CENIMAT|i3N, Department of Materials Science, School of Science and Technology, NOVA University Lisbon and CEMOP/UNINOVA, Caparica, Portugal
| | - Asal Kiazadeh
- CENIMAT|i3N, Department of Materials Science, School of Science and Technology, NOVA University Lisbon and CEMOP/UNINOVA, Caparica, Portugal.
| | - Jonas Deuermeier
- CENIMAT|i3N, Department of Materials Science, School of Science and Technology, NOVA University Lisbon and CEMOP/UNINOVA, Caparica, Portugal
| | - S Lanceros-Méndez
- Center of Physics, University of Minho and Laboratory of Physics for Materials and Emergent Technologies, LapMET, Campus de Gualtar, 4710-057, Braga, Portugal
- BCMaterials, Basque Center for Materials, Applications and Nanostructures, UPV/EHU Science Park, 48940, Leioa, Spain
- IKERBASQUE, Basque Foundation for Science, 48009, Bilbao, Spain
| | - Rodrigo Martins
- CENIMAT|i3N, Department of Materials Science, School of Science and Technology, NOVA University Lisbon and CEMOP/UNINOVA, Caparica, Portugal
| | - Emanuel Carlos
- CENIMAT|i3N, Department of Materials Science, School of Science and Technology, NOVA University Lisbon and CEMOP/UNINOVA, Caparica, Portugal.
| |
Collapse
|
7
|
Teng J, Chen Y, Huang C, Yang M, Zhu B, Liu WJ, Ding SJ, Wu X. Graded-Band-Gap Zinc-Tin Oxide Thin-Film Transistors with a Vertically Stacked Structure for Wavelength-Selective Photodetection. ACS APPLIED MATERIALS & INTERFACES 2024; 16:9060-9067. [PMID: 38336611 DOI: 10.1021/acsami.3c18737] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 02/12/2024]
Abstract
Filter-free wavelength-selective photodetectors have garnered significant attention due to the growing demand for smart sensors, artificial intelligence, the Internet of Everything, and so forth. However, the challenges associated with large-scale preparation and compatibility with complementary metal-oxide-semiconductor (CMOS) technology limit their wide-ranging applications. In this work, we address the challenges by constructing vertically stacked graded-band-gap zinc-tin oxide (ZTO) thin-film transistors (TFTs) specifically designed for wavelength-selective photodetection. The ZTO thin films with various band gaps are fabricated via atomic layer deposition (ALD) by varying the ALD cycle ratios of zinc oxide (ZnO) and SnO2. The ZTO film with a small Sn ratio exhibits a decreased band gap, and the resultant TFT shows a degraded performance, which can be attributed to the Sn4+ dopant introducing a series of deep-state energy levels in the ZnO band gap. As the ratio of Sn increases further, the band gap of the ZTO also increases, and the mobility of the ZTO TFT increases up to 30 cm2/V s, with a positive shift of the threshold voltage. The photodetectors employing ZTO thin films with distinct band gaps show different spectral responsivities. Then, vertically stacked ZTO (S-ZTO) thin films, with gradient band gaps increasing from the bottom to the top, have been successfully deposited using consecutive ALD technology. The S-ZTO TFT shows decent performance with a mobility of 18.4 cm2/V s, a threshold voltage of 0.5 V, an on-off current ratio higher than 107, and excellent stability under ambient conditions. The resultant S-ZTO TFT also exhibits obviously distinct photoresponses to light at different wavelength ranges. Furthermore, a device array of S-ZTO TFTs demonstrates color imaging by precisely reconstructing patterned illuminations with different wavelengths. Therefore, this work provides CMOS-compatible and structure-compact wavelength-selective photodetectors for advanced and integrable optoelectronic applications.
Collapse
Affiliation(s)
- Jiahui Teng
- School of Microelectronics, Fudan University, Shanghai 200433, China
| | - Yantao Chen
- School of Microelectronics, Fudan University, Shanghai 200433, China
| | - Chunming Huang
- School of Microelectronics, Fudan University, Shanghai 200433, China
| | - Ming Yang
- School of Microelectronics, Fudan University, Shanghai 200433, China
| | - Bao Zhu
- School of Microelectronics, Fudan University, Shanghai 200433, China
- Jiashan Fudan Institute, Jiaxing, Zhejiang Province 314100, China
| | - Wen-Jun Liu
- School of Microelectronics, Fudan University, Shanghai 200433, China
| | - Shi-Jin Ding
- School of Microelectronics, Fudan University, Shanghai 200433, China
- Jiashan Fudan Institute, Jiaxing, Zhejiang Province 314100, China
| | - Xiaohan Wu
- School of Microelectronics, Fudan University, Shanghai 200433, China
- Jiashan Fudan Institute, Jiaxing, Zhejiang Province 314100, China
| |
Collapse
|
8
|
Choi SH, Ryu SH, Kim DG, Kwag JH, Yeon C, Jung J, Park YS, Park JS. c-Axis Aligned 3 nm Thick In 2O 3 Crystal Using New Liquid DBADMIn Precursor for Highly Scaled FET Beyond the Mobility-Stability Trade-off. NANO LETTERS 2024; 24:1324-1331. [PMID: 38230977 DOI: 10.1021/acs.nanolett.3c04312] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 01/18/2024]
Abstract
Oxide semiconductors (OS) are attractive materials for memory and logic device applications owing to their low off-current, high field effect mobility, and superior large-area uniformity. Recently, successful research has reported the high field-effect mobility (μFE) of crystalline OS channel transistors (above 50 cm2 V-1 s-1). However, the memory and logic device application presents challenges in mobility and stability trade-offs. Here, we propose a method for achieving high-mobility and high-stability by lowering the grain boundary effect. A DBADMIn precursor was synthesized to deposit highly c-axis-aligned C(222) crystalline 3 nm thick In2O3 films. In this study, the 250 °C deposited 3 nm thick In2O3 channel transistor exhibited high μFE of 41.12 cm2 V-1 s-1, Vth of -0.50 V, and SS of 150 mV decade-1 with superior stability of 0.16 V positive shift during PBTS at 100 °C, 3 MV cm-1 stress conditions for 3 h.
Collapse
Affiliation(s)
- Su-Hwan Choi
- Division of Nanoscale Semiconductor Engineering, Hanyang University, 222 Wangsimni-ro, Seongdong-gu, Seoul, 04763, Republic of Korea
| | - Seong-Hwan Ryu
- Division of Materials Science and Engineering, Hanyang University, 222, Wangsimni-ro, Seongdong-gu, Seoul, 04763, Republic of Korea
| | - Dong-Gyu Kim
- Division of Materials Science and Engineering, Hanyang University, 222, Wangsimni-ro, Seongdong-gu, Seoul, 04763, Republic of Korea
| | - Jae-Hyeok Kwag
- Division of Nanoscale Semiconductor Engineering, Hanyang University, 222 Wangsimni-ro, Seongdong-gu, Seoul, 04763, Republic of Korea
| | - Changbong Yeon
- Thin Film Materials Development Team, Soulbrain, 14-102 Gongdan-Gil, Gongju Republic of Korea
| | - Jaesun Jung
- Thin Film Materials Development Team, Soulbrain, 14-102 Gongdan-Gil, Gongju Republic of Korea
| | - Young-Soo Park
- Thin Film Materials Development Team, Soulbrain, 14-102 Gongdan-Gil, Gongju Republic of Korea
| | - Jin-Seong Park
- Division of Nanoscale Semiconductor Engineering, Hanyang University, 222 Wangsimni-ro, Seongdong-gu, Seoul, 04763, Republic of Korea
- Division of Materials Science and Engineering, Hanyang University, 222, Wangsimni-ro, Seongdong-gu, Seoul, 04763, Republic of Korea
| |
Collapse
|
9
|
Kim S, Ju D, Kim S. Implementation of Artificial Synapse Using IGZO-Based Resistive Switching Device. MATERIALS (BASEL, SWITZERLAND) 2024; 17:481. [PMID: 38276419 PMCID: PMC10817334 DOI: 10.3390/ma17020481] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 12/05/2023] [Revised: 01/12/2024] [Accepted: 01/17/2024] [Indexed: 01/27/2024]
Abstract
In this study, we present the resistive switching characteristics and the emulation of a biological synapse using the ITO/IGZO/TaN device. The device demonstrates efficient energy consumption, featuring low current resistive switching with minimal set and reset voltages. Furthermore, we establish that the device exhibits typical bipolar resistive switching with the coexistence of non-volatile and volatile memory properties by controlling the compliance during resistive switching phenomena. Utilizing the IGZO-based RRAM device with an appropriate pulse scheme, we emulate a biological synapse based on its electrical properties. Our assessments include potentiation and depression, a pattern recognition system based on neural networks, paired-pulse facilitation, excitatory post-synaptic current, and spike-amplitude dependent plasticity. These assessments confirm the device's effective emulation of a biological synapse, incorporating both volatile and non-volatile functions. Furthermore, through spike-rate dependent plasticity and spike-timing dependent plasticity of the Hebbian learning rules, high-order synapse imitation was done.
Collapse
Affiliation(s)
| | | | - Sungjun Kim
- Division of Electronics and Electrical Engineering, Dongguk University, Seoul 04620, Republic of Korea (D.J.)
| |
Collapse
|
10
|
Lee J, Lee JH, Lee C, Lee H, Jin M, Kim J, Shin JC, Lee E, Kim YS. Machine Learning Driven Channel Thickness Optimization in Dual-Layer Oxide Thin-Film Transistors for Advanced Electrical Performance. ADVANCED SCIENCE (WEINHEIM, BADEN-WURTTEMBERG, GERMANY) 2023; 10:e2303589. [PMID: 37985921 PMCID: PMC10754089 DOI: 10.1002/advs.202303589] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 06/02/2023] [Revised: 10/08/2023] [Indexed: 11/22/2023]
Abstract
Machine learning (ML) provides temporal advantage and performance improvement in practical electronic device design by adaptive learning. Herein, Bayesian optimization (BO) is successfully applied to the design of optimal dual-layer oxide semiconductor thin film transistors (OS TFTs). This approach effectively manages the complex correlation and interdependency between two oxide semiconductor layers, resulting in the efficient design of experiment (DoE) and reducing the trial-and-error. Considering field effect mobility (𝜇) and threshold voltage (Vth ) simultaneously, the dual-layer structure designed by the BO model allows to produce OS TFTs with remarkable electrical performance while significantly saving an amount of experimental trial (only 15 data sets are required). The optimized dual-layer OS TFTs achieve the enhanced field effect mobility of 36.1 cm2 V-1 s-1 and show good stability under bias stress with negligible difference in its threshold voltage compared to conventional IGZO TFTs. Moreover, the BO algorithm is successfully customized to the individual preferences by applying the weight factors assigned to both field effect mobility (𝜇) and threshold voltage (Vth ).
Collapse
Affiliation(s)
- Jiho Lee
- Department of Applied Bioengineering, Graduate School of Convergence Science and TechnologySeoul National UniversityGwanak‐ro 1, Gwanak‐guSeoul08826Republic of Korea
| | - Jae Hak Lee
- Program in Nano Science and TechnologyGraduate School of Convergence Science and TechnologySeoul National UniversityGwanak‐ro 1, Gwanak‐guSeoul08826Republic of Korea
- Samsung Display Company, Ltd.1 Samsung‐ro, Giheung‐guYongin‐siGyeonggi‐do17113Republic of Korea
| | - Chan Lee
- Department of Chemical and Biological EngineeringCollege of EngineeringSeoul National UniversityGwanak‐ro 1, Gwanak‐guSeoul08826Republic of Korea
| | - Haeyeon Lee
- Department of Chemical and Biological EngineeringCollege of EngineeringSeoul National UniversityGwanak‐ro 1, Gwanak‐guSeoul08826Republic of Korea
| | - Minho Jin
- Program in Nano Science and TechnologyGraduate School of Convergence Science and TechnologySeoul National UniversityGwanak‐ro 1, Gwanak‐guSeoul08826Republic of Korea
| | - Jiyeon Kim
- Department of Applied Bioengineering, Graduate School of Convergence Science and TechnologySeoul National UniversityGwanak‐ro 1, Gwanak‐guSeoul08826Republic of Korea
| | - Jong Chan Shin
- Department of Chemical and Biological EngineeringCollege of EngineeringSeoul National UniversityGwanak‐ro 1, Gwanak‐guSeoul08826Republic of Korea
| | - Eungkyu Lee
- Department of Electronic EngineeringKyung Hee UniversityYongin‐siGyeonggi‐do17104Republic of Korea
| | - Youn Sang Kim
- Department of Applied Bioengineering, Graduate School of Convergence Science and TechnologySeoul National UniversityGwanak‐ro 1, Gwanak‐guSeoul08826Republic of Korea
- Program in Nano Science and TechnologyGraduate School of Convergence Science and TechnologySeoul National UniversityGwanak‐ro 1, Gwanak‐guSeoul08826Republic of Korea
- Department of Chemical and Biological EngineeringCollege of EngineeringSeoul National UniversityGwanak‐ro 1, Gwanak‐guSeoul08826Republic of Korea
- Institute of Chemical ProcessesCollege of EngineeringSeoul National UniversityGwanak‐ro 1, Gwanak‐guSeoul08826Republic of Korea
- Advanced Institutes of Convergence TechnologyGwanggyo‐ro 145, Yeongtong‐guSuwon16229Republic of Korea
| |
Collapse
|
11
|
Mahata C, So H, Yang S, Ismail M, Kim S, Cho S. Uniform multilevel switching and synaptic properties in RF-sputtered InGaZnO-based memristor treated with oxygen plasma. J Chem Phys 2023; 159:184712. [PMID: 37962452 DOI: 10.1063/5.0179314] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Received: 10/01/2023] [Accepted: 10/24/2023] [Indexed: 11/15/2023] Open
Abstract
Bipolar gradual resistive switching was investigated in ITO/InGaZnO/ITO resistive switching devices. Controlled intrinsic oxygen vacancy formation inside the switching layer enabled the establishment of a stable multilevel memory state, allowing for RESET voltage control and non-degradable data endurance. The ITO/InGaZnO interface governs the migration of oxygen ions and redox reactions within the switching layer. Voltage-stress-induced electron trapping and oxygen vacancy formation were observed before conductive filament electroforming. This device mimicked biological synapses, demonstrating short- and long-term potentiation and depression through electrical pulse sequences. Modulation of post-synaptic currents and pulse frequency-dependent short-term potentiation were successfully emulated in the InGaZnO-based artificial synapse. The ITO/InGaZnO/ITO memristor exhibited spike-amplitude-dependent plasticity, spike-rate-dependent plasticity, and potentiation-depression synaptic learning with low energy consumption, making it a promising candidate for large-scale integration.
Collapse
Affiliation(s)
- Chandreswar Mahata
- Division of Electronics and Electrical Engineering, Dongguk University, Seoul 04620, Republic of Korea
| | - Hyojin So
- Division of Electronics and Electrical Engineering, Dongguk University, Seoul 04620, Republic of Korea
| | - Seyeong Yang
- Division of Electronics and Electrical Engineering, Dongguk University, Seoul 04620, Republic of Korea
| | - Muhammad Ismail
- Division of Electronics and Electrical Engineering, Dongguk University, Seoul 04620, Republic of Korea
| | - Sungjun Kim
- Division of Electronics and Electrical Engineering, Dongguk University, Seoul 04620, Republic of Korea
| | - Seongjae Cho
- Department of Electronic and Electrical Engineering, Ewha Womans University, Seoul 03760, South Korea
| |
Collapse
|
12
|
Zhu J, Hu S, Chen B, Zhang Y, Wei S, Guo X, Zou X, Lu X, Sun Q, Zhang DW, Ji L. Tunable-performance all-oxide structure field-effect transistor based atomic layer deposited Hf-doped In2O3 thin films. J Chem Phys 2023; 159:174704. [PMID: 37916595 DOI: 10.1063/5.0170886] [Citation(s) in RCA: 1] [Impact Index Per Article: 1.0] [Reference Citation Analysis] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Received: 08/05/2023] [Accepted: 10/16/2023] [Indexed: 11/03/2023] Open
Abstract
The relocation of peripheral transistors from the front-end-of-line (FEOL) to the back-end-of-line (BEOL) in fabrication processes is of significant interest, as it allows for the introduction of novel functionality in the BEOL while providing additional die area in the FEOL. Oxide semiconductor-based transistors serve as attractive candidates for BEOL. Within these categories, In2O3 material is particularly notable; nonetheless, the excessive intrinsic carrier concentration poses a limitation on its broader applicability. Herein, the deposition of Hf-doped In2O3 (IHO) films via atomic layer deposition for the first time demonstrates an effective method for tuning the intrinsic carrier concentration, where the doping concentration plays a critical role in determine the properties of IHO films and all-oxide structure transistors with Au-free process. The all-oxide transistors with In2O3: HfO2 ratio of 10:1 exhibited optimal electrical properties, including high on-current with 249 µA, field-effect mobility of 13.4 cm2 V-1 s-1, and on/off ratio exceeding 106, and also achieved excellent stability under long time positive bias stress and negative bias stress. These findings suggest that this study not only introduces a straightforward and efficient approach to improve the properties of In2O3 material and transistors, but as well paves the way for development of all-oxide transistors and their integration into BEOL technology.
Collapse
Affiliation(s)
- Jiyuan Zhu
- School of Microelectronics, Fudan University, Shanghai 200433, China
| | - Shen Hu
- School of Microelectronics, Fudan University, Shanghai 200433, China
| | - Bojia Chen
- School of Microelectronics, Fudan University, Shanghai 200433, China
| | - Yu Zhang
- School of Microelectronics, Fudan University, Shanghai 200433, China
| | - Shice Wei
- School of Microelectronics, Fudan University, Shanghai 200433, China
| | - Xiangyu Guo
- School of Microelectronics, Fudan University, Shanghai 200433, China
| | - Xingli Zou
- State Key Laboratory of Advanced Special Steel and Shanghai Key Laboratory of Advanced Ferrometallurgy and School of Materials Science and Engineering, Shanghai University, Shanghai 200444, China
| | - Xionggang Lu
- State Key Laboratory of Advanced Special Steel and Shanghai Key Laboratory of Advanced Ferrometallurgy and School of Materials Science and Engineering, Shanghai University, Shanghai 200444, China
| | - Qingqing Sun
- School of Microelectronics, Fudan University, Shanghai 200433, China
| | - David W Zhang
- School of Microelectronics, Fudan University, Shanghai 200433, China
| | - Li Ji
- School of Microelectronics, Fudan University, Shanghai 200433, China
- Hubei Yangtz Memory Laboratories, Wuhan 430205, China
| |
Collapse
|
13
|
Choi D, Seo JW, Yoon J, Yu SM, Kwon JD, Lee SK, Kim Y. Monolithic Integration of Semi-Transparent and Flexible Integrated Image Sensor Array with a-IGZO Thin-Film Transistors (TFTs) and p-i-n Hydrogenated Amorphous Silicon Photodiodes. NANOMATERIALS (BASEL, SWITZERLAND) 2023; 13:2886. [PMID: 37947730 PMCID: PMC10648663 DOI: 10.3390/nano13212886] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 09/10/2023] [Revised: 10/12/2023] [Accepted: 10/27/2023] [Indexed: 11/12/2023]
Abstract
A novel approach to fabricating a transparent and flexible one-transistor-one-diode (1T-1D) image sensor array on a flexible colorless polyimide (CPI) film substrate is successfully demonstrated with laser lift-off (LLO) techniques. Leveraging transparent indium tin oxide (ITO) electrodes and amorphous indium gallium zinc oxide (a-IGZO) channel-based thin-film transistor (TFT) backplanes, vertically stacked p-i-n hydrogenated amorphous silicon (a-Si:H) photodiodes (PDs) utilizing a low-temperature (<90 °C) deposition process are integrated with a densely packed 14 × 14 pixel array. The low-temperature-processed a-Si:H photodiodes show reasonable performance with responsivity and detectivity for 31.43 mA/W and 3.0 × 1010 Jones (biased at -1 V) at a wavelength of 470 nm, respectively. The good mechanical durability and robustness of the flexible image sensor arrays enable them to be attached to a curved surface with bending radii of 20, 15, 10, and 5 mm and 1000 bending cycles, respectively. These studies show the significant promise of utilizing highly flexible and rollable active-matrix technology for the purpose of dynamically sensing optical signals in spatial applications.
Collapse
Affiliation(s)
- Donghyeong Choi
- Department of Energy and Electronic Materials, Surface Nano Materials Division, Korea Institute of Materials Science (KIMS), Changwon 51508, Republic of Korea; (D.C.); (J.-W.S.); (J.Y.); (J.-D.K.)
- School of Materials Science and Engineering, Pusan National University, Busan 46241, Republic of Korea
| | - Ji-Woo Seo
- Department of Energy and Electronic Materials, Surface Nano Materials Division, Korea Institute of Materials Science (KIMS), Changwon 51508, Republic of Korea; (D.C.); (J.-W.S.); (J.Y.); (J.-D.K.)
- School of Materials Science and Engineering, Pusan National University, Busan 46241, Republic of Korea
| | - Jongwon Yoon
- Department of Energy and Electronic Materials, Surface Nano Materials Division, Korea Institute of Materials Science (KIMS), Changwon 51508, Republic of Korea; (D.C.); (J.-W.S.); (J.Y.); (J.-D.K.)
| | - Seung Min Yu
- Analytical Research Division, Korea Basic Science Institute, Jeonju 54907, Republic of Korea;
| | - Jung-Dae Kwon
- Department of Energy and Electronic Materials, Surface Nano Materials Division, Korea Institute of Materials Science (KIMS), Changwon 51508, Republic of Korea; (D.C.); (J.-W.S.); (J.Y.); (J.-D.K.)
| | - Seoung-Ki Lee
- School of Materials Science and Engineering, Pusan National University, Busan 46241, Republic of Korea
| | - Yonghun Kim
- Department of Energy and Electronic Materials, Surface Nano Materials Division, Korea Institute of Materials Science (KIMS), Changwon 51508, Republic of Korea; (D.C.); (J.-W.S.); (J.Y.); (J.-D.K.)
| |
Collapse
|
14
|
Ko JB, Cho SI, Park SHK. Engineering a Subnanometer Interface Tailoring Layer for Precise Hydrogen Incorporation and Defect Passivation for High-End Oxide Thin-Film Transistors. ACS APPLIED MATERIALS & INTERFACES 2023; 15:47799-47809. [PMID: 37769061 DOI: 10.1021/acsami.3c10185] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 09/30/2023]
Abstract
Top-gate self-aligned structured oxide thin-film transistors (TFTs) are suitable for the backplanes of high-end displays because of their low parasitic capacitances. The gate insulator (GI) deposition process should be carefully designed to manufacture a highly stable, high-mobility oxide TFT, particularly for a top-gate structure. In this study, a nanometer-thick Al2O3 layer via plasma-enhanced atomic layer deposition (PE-ALD) is deposited on the top-gate bottom-contact structured oxide TFT as the interface tailoring layer, which can also act as the hydrogen barrier to modulate carrier generation from hydrogen incorporation into the active layer of the TFT during the following process such as postannealing. Al-doped InSnZnO (Al/ITZO) with an Al/In/Sn/Zn atomic ratio composition of 1.7:24.3:40:34 was used for high mobility oxide semiconductors, and an Al2O3/Si3N4 bilayer was used for the GI. The degradation issue due to the excellent barrier characteristics of Al2O3 and Si3N4 can be minimized. An oxide TFT fabricated without the interface tailoring layer exhibits conductor-like characteristics owing to the excessive carrier generation by hydrogen incorporation. However, TFTs with additional interface layers exhibit reasonable characteristics and distinct trends in electrical characteristics depending on the thicknesses of the interface layers. The optimized devices exhibit an average turn-on voltage (Von) of -0.31 V with 33.63 cm2/(V s) of high mobility and 0.09 V/dec of subthreshold swing value. The interfaces between the active layer and hydrogen barriers were investigated using a high-resolution transmission electron microscope, contact angle measurement, and secondary ion mass spectroscopy to reveal the origin of the trends in properties between the devices. The top-gate device with a hydrogen barrier using the four-cycle deposition exhibits optimum electrical characteristics of both high mobility and good stability with only a 0.04 V shift of Von under positive-bias temperature stress (PBTS). We realize a high-end, self-aligned TFT with high mobility [34.7 cm2/(V s)] and negligible Von shift of -0.06 V under PBTS by applying a subnanometer hydrogen barrier.
Collapse
Affiliation(s)
- Jong Beom Ko
- Department of Materials Science and Engineering, Korea Advanced Institute of Science and Technology (KAIST), 291 Daehak-ro, Yuseong-gu, Daejeon 305-701, Republic of Korea
| | - Seong-In Cho
- Department of Materials Science and Engineering, Korea Advanced Institute of Science and Technology (KAIST), 291 Daehak-ro, Yuseong-gu, Daejeon 305-701, Republic of Korea
| | - Sang-Hee Ko Park
- Department of Materials Science and Engineering, Korea Advanced Institute of Science and Technology (KAIST), 291 Daehak-ro, Yuseong-gu, Daejeon 305-701, Republic of Korea
| |
Collapse
|
15
|
Kim T, Choi CH, Hur JS, Ha D, Kuh BJ, Kim Y, Cho MH, Kim S, Jeong JK. Progress, Challenges, and Opportunities in Oxide Semiconductor Devices: A Key Building Block for Applications Ranging from Display Backplanes to 3D Integrated Semiconductor Chips. ADVANCED MATERIALS (DEERFIELD BEACH, FLA.) 2023; 35:e2204663. [PMID: 35862931 DOI: 10.1002/adma.202204663] [Citation(s) in RCA: 14] [Impact Index Per Article: 14.0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 05/24/2022] [Revised: 07/04/2022] [Indexed: 06/15/2023]
Abstract
As Si has faced physical limits on further scaling down, novel semiconducting materials such as 2D transition metal dichalcogenides and oxide semiconductors (OSs) have gained tremendous attention to continue the ever-demanding downscaling represented by Moore's law. Among them, OS is considered to be the most promising alternative material because it has intriguing features such as modest mobility, extremely low off-current, great uniformity, and low-temperature processibility with conventional complementary-metal-oxide-semiconductor-compatible methods. In practice, OS has successfully replaced hydrogenated amorphous Si in high-end liquid crystal display devices and has now become a standard backplane electronic for organic light-emitting diode displays despite the short time since their invention in 2004. For OS to be implemented in next-generation electronics such as back-end-of-line transistor applications in monolithic 3D integration beyond the display applications, however, there is still much room for further study, such as high mobility, immune short-channel effects, low electrical contact properties, etc. This study reviews the brief history of OS and recent progress in device applications from a material science and device physics point of view. Simultaneously, remaining challenges and opportunities in OS for use in next-generation electronics are discussed.
Collapse
Affiliation(s)
- Taikyu Kim
- Department of Electronic Engineering, Hanyang University, Seoul, 04763, Republic of Korea
| | - Cheol Hee Choi
- Department of Electronic Engineering, Hanyang University, Seoul, 04763, Republic of Korea
| | - Jae Seok Hur
- Department of Electronic Engineering, Hanyang University, Seoul, 04763, Republic of Korea
| | - Daewon Ha
- Semiconductor R&D Center, Samsung Electronics, Hwaseong, Gyeonggi-do, 18848, Republic of Korea
| | - Bong Jin Kuh
- Semiconductor R&D Center, Samsung Electronics, Hwaseong, Gyeonggi-do, 18848, Republic of Korea
| | - Yongsung Kim
- Samsung Advanced Institute of Technology, Samsung Electronics, Suwon, Gyeonggi-do, 16678, Republic of Korea
| | - Min Hee Cho
- Semiconductor R&D Center, Samsung Electronics, Hwaseong, Gyeonggi-do, 18848, Republic of Korea
| | - Sangwook Kim
- Samsung Advanced Institute of Technology, Samsung Electronics, Suwon, Gyeonggi-do, 16678, Republic of Korea
| | - Jae Kyeong Jeong
- Department of Electronic Engineering, Hanyang University, Seoul, 04763, Republic of Korea
| |
Collapse
|
16
|
Kim YS, Oh HJ, Kim J, Lim JH, Park JS. Approaches for 3D Integration Using Plasma-Enhanced Atomic-Layer-Deposited Atomically-Ordered InGaZnO Transistors with Ultra-High Mobility. SMALL METHODS 2023; 7:e2300549. [PMID: 37381681 DOI: 10.1002/smtd.202300549] [Citation(s) in RCA: 1] [Impact Index Per Article: 1.0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 04/25/2023] [Revised: 06/08/2023] [Indexed: 06/30/2023]
Abstract
As the scale-down and power-saving of silicon-based channel materials approach the limit, oxide semiconductors are being actively researched for applications in 3D back-end-of-line integration. For these applications, it is necessary to develop stable oxide semiconductors with electrical properties similar to those of Si. Herein, a single-crystal-like indium-gallium-zinc-oxide (IGZO) layer (referred to as a pseudo-single-crystal) is synthesized using plasma-enhanced atomic layer deposition and fabricated stable IGZO transistors with an ultra-high mobility of over 100 cm2 Vs-1 . To acquire high-quality atomic layer deposition-processed IGZO layers, the plasma power of the reactant is controlled as an effective processing parameter by evaluating and understanding the effect of the chemical reaction of the precursors on the behavior of the residual hydrogen, carbon, and oxygen in the as-deposited films. Based on these insights, this study found that there is a critical relationship between the optimal plasma reaction energy, superior electrical performance, and device stability.
Collapse
Affiliation(s)
- Yoon-Seo Kim
- Division of Materials Science and Engineering, Hanyang University, Seoul, 04763, Republic of Korea
| | - Hye-Jin Oh
- Division of Materials Science and Engineering, Hanyang University, Seoul, 04763, Republic of Korea
| | - Junghwan Kim
- Graduate School of Semiconductor Materials and Devices Engineering, Ulsan National Institute of Science and Technology, Ulsan, 44919, Republic of Korea
| | - Jun Hyung Lim
- R&D Center, Samsung Display Company, Yongin, 17113, Republic of Korea
| | - Jin-Seong Park
- Division of Materials Science and Engineering, Hanyang University, Seoul, 04763, Republic of Korea
| |
Collapse
|
17
|
Wang W, Li K, Lan J, Shen M, Wang Z, Feng X, Yu H, Chen K, Li J, Zhou F, Lin L, Zhang P, Li Y. CMOS backend-of-line compatible memory array and logic circuitries enabled by high performance atomic layer deposited ZnO thin-film transistor. Nat Commun 2023; 14:6079. [PMID: 37770482 PMCID: PMC10539278 DOI: 10.1038/s41467-023-41868-5] [Citation(s) in RCA: 2] [Impact Index Per Article: 2.0] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Received: 05/24/2023] [Accepted: 09/14/2023] [Indexed: 09/30/2023] Open
Abstract
The development of high-performance oxide-based transistors is critical to enable very large-scale integration (VLSI) of monolithic 3-D integrated circuit (IC) in complementary metal oxide semiconductor (CMOS) backend-of-line (BEOL). Atomic layer deposition (ALD) deposited ZnO is an attractive candidate due to its excellent electrical properties, low processing temperature below copper interconnect thermal budget, and conformal sidewall deposition for novel 3D architecture. An optimized ALD deposited ZnO thin-film transistor achieving a record field-effect and intrinsic mobility (µFE /µo) of 85/140 cm2/V·s is presented here. The ZnO TFT was integrated with HfO2 RRAM in a 1 kbit (32 × 32) 1T1R array, demonstrating functionalities in RRAM switching. In order to co-design for future technology requiring high performance BEOL circuitries implementation, a spice-compatible model of the ZnO TFTs was developed. We then present designs of various ZnO TFT-based inverters, and 5-stage ring oscillators through simulations and experiments with working frequency exceeding 10's of MHz.
Collapse
Affiliation(s)
- Wenhui Wang
- School of Microelectronics, Southern University of Science and Technology, 518055, Shenzhen, China
| | - Ke Li
- School of Microelectronics, Southern University of Science and Technology, 518055, Shenzhen, China
| | - Jun Lan
- School of Microelectronics, Southern University of Science and Technology, 518055, Shenzhen, China
| | - Mei Shen
- School of Microelectronics, Southern University of Science and Technology, 518055, Shenzhen, China
| | - Zhongrui Wang
- Department of Electrical and Electronic Engineering, The University of Hong Kong, 999077, Hong Kong SAR, China
| | - Xuewei Feng
- Shanghai Jiao Tong University, 200240, Shanghai, China
| | - Hongyu Yu
- School of Microelectronics, Southern University of Science and Technology, 518055, Shenzhen, China
| | - Kai Chen
- School of Microelectronics, Southern University of Science and Technology, 518055, Shenzhen, China
| | - Jiamin Li
- School of Microelectronics, Southern University of Science and Technology, 518055, Shenzhen, China
| | - Feichi Zhou
- School of Microelectronics, Southern University of Science and Technology, 518055, Shenzhen, China
| | - Longyang Lin
- School of Microelectronics, Southern University of Science and Technology, 518055, Shenzhen, China.
| | - Panpan Zhang
- State Key Laboratory of Information Photonics and Optical Communications, Beijing University of Posts and Telecommunications, 100876, Beijing, China.
| | - Yida Li
- School of Microelectronics, Southern University of Science and Technology, 518055, Shenzhen, China.
| |
Collapse
|
18
|
Kim DS, Suh HW, Cho SW, Oh SY, Lee HH, Lee KW, Choi JH, Cho HK. Intensive harmonized synapses with amorphous Cu 2O-based memristors using ultrafine Cu nanoparticle sublayers formed via atomically controlled electrochemical pulse deposition. MATERIALS HORIZONS 2023; 10:3382-3392. [PMID: 37439537 DOI: 10.1039/d3mh00508a] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 07/14/2023]
Abstract
Resistive random-access memory (RRAM) devices have significant advantages for neuromorphic computing but have fatal problems of uncontrollability and abrupt resistive switching behaviors degrading their synaptic performance. In this paper, we propose the electrochemical design of an active Cu2O layer containing a strategic sublayer of ultrafine Cu nanoparticles (U-Cu NPs) to form uniformly dispersed conducting filaments, which can effectively improve the reliability for analog switching of RRAM-based neuromorphic computing. The electrochemical pulse deposited (EPD) U-Cu NPs are linked to the bottom electrode through a semi-conductive path within the bottom Cu2O layer, since the EPD is preferentially carried out on the conductive sites. All Cu2O films with U-Cu NPs are developed in situ in the single electrolyte bath without any pause. The proposed U-Cu NPs can concentrate the external electric field and can generate conductive filament paths for analog resistive switching. The applied electric field was uniformly spread to U-Cu NPs at the center of the active layer and displays resistive switching behavior via multiple conductive filaments. This shows a strong harmony between the resistance-switching characteristics and the analog operation of the active layer. This RRAM device shows outstanding gradual analog switching, great linearity, dynamic range, endurance, precision, speed, and retention characteristics simultaneously and adequately for neuromorphic computing by realizing multiple weak filament-type operation.
Collapse
Affiliation(s)
- Dong Su Kim
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066, Seobu-ro, Jangan-gu, Suwon-si, Gyeonggi-do, 16419, Republic of Korea.
| | - Hee Won Suh
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066, Seobu-ro, Jangan-gu, Suwon-si, Gyeonggi-do, 16419, Republic of Korea.
| | - Sung Woon Cho
- Department of Advanced Components and Materials Engineering, Sunchon National University, 255, Jungang-ro, Sunchon-si, Jeollanam-do, Republic of Korea
| | - Shin Young Oh
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066, Seobu-ro, Jangan-gu, Suwon-si, Gyeonggi-do, 16419, Republic of Korea.
| | - Hak Hyeon Lee
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066, Seobu-ro, Jangan-gu, Suwon-si, Gyeonggi-do, 16419, Republic of Korea.
| | - Kun Woong Lee
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066, Seobu-ro, Jangan-gu, Suwon-si, Gyeonggi-do, 16419, Republic of Korea.
| | - Ji Hoon Choi
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066, Seobu-ro, Jangan-gu, Suwon-si, Gyeonggi-do, 16419, Republic of Korea.
| | - Hyung Koun Cho
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066, Seobu-ro, Jangan-gu, Suwon-si, Gyeonggi-do, 16419, Republic of Korea.
| |
Collapse
|
19
|
Cho MH, Choi CH, Kim MJ, Hur JS, Kim T, Jeong JK. High-Performance Indium-Based Oxide Transistors with Multiple Channels Through Nanolaminate Structure Fabricated by Plasma-Enhanced Atomic Layer Deposition. ACS APPLIED MATERIALS & INTERFACES 2023; 15:19137-19151. [PMID: 37023364 DOI: 10.1021/acsami.3c00038] [Citation(s) in RCA: 1] [Impact Index Per Article: 1.0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/19/2023]
Abstract
An atomic-layer-deposited oxide nanolaminate (NL) structure with 3 dyads where a single dyad consists of a 2-nm-thick confinement layer (CL) (In0.84Ga0.16O or In0.75Zn0.25O), and a barrier layer (BL) (Ga2O3) was designed to obtain superior electrical performance in thin-film transistors (TFTs). Within the oxide NL structure, multiple-channel formation was demonstrated by a pile-up of free charge carriers near CL/BL heterointerfaces in the form of the so-called quasi-two-dimensional electron gas (q2DEG), which leads to an outstanding carrier mobility (μFE) with band-like transport, steep gate swing (SS), and positive threshold voltage (VTH) behavior. Furthermore, reduced trap densities in oxide NL compared to those of conventional oxide single-layer TFTs ensures excellent stabilities. The optimized device with the In0.75Zn0.25O/Ga2O3 NL TFT showed remarkable electrical performance: μFE of 77.1 ± 0.67 cm2/(V s), VTH of 0.70 ± 0.25 V, SS of 100 ± 10 mV/dec, and ION/OFF of 8.9 × 109 with a low operation voltage range of ≤2 V and excellent stabilities (ΔVTH of +0.27, -0.55, and +0.04 V for PBTS, NBIS, and CCS, respectively). Based on in-depth analyses, the enhanced electrical performance is attributed to the presence of q2DEG formed at carefully engineered CL/BL heterointerfaces. Technological computer-aided design (TCAD) simulation was performed theoretically to confirm the formation of multiple channels in an oxide NL structure where the formation of a q2DEG was verified in the vicinity of CL/BL heterointerfaces. These results clearly demonstrate that introducing a heterojunction or NL structure concept into this atomic layer deposition (ALD)-derived oxide semiconductor system is a very effective strategy to boost the carrier-transporting properties and improve the photobias stability in the resulting TFTs.
Collapse
Affiliation(s)
- Min Hoe Cho
- Department of Process Development, Samsung Display, Yongin 17113, South Korea
| | - Cheol Hee Choi
- Department of Electronic Engineering, Hanyang University, Seoul 04763, South Korea
| | - Min Jae Kim
- Department of Electronic Engineering, Hanyang University, Seoul 04763, South Korea
| | - Jae Seok Hur
- Department of Electronic Engineering, Hanyang University, Seoul 04763, South Korea
| | - Taikyu Kim
- Department of Electronic Engineering, Hanyang University, Seoul 04763, South Korea
| | - Jae Kyeong Jeong
- Department of Electronic Engineering, Hanyang University, Seoul 04763, South Korea
| |
Collapse
|
20
|
Hamlin AB, Agnew SA, Bonner JC, Hsu JWP, Scheideler WJ. Heterojunction Transistors Printed via Instantaneous Oxidation of Liquid Metals. NANO LETTERS 2023; 23:2544-2550. [PMID: 36920073 DOI: 10.1021/acs.nanolett.2c04555] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/18/2023]
Abstract
Semiconducting transparent metal oxides are critical high mobility materials for flexible optoelectronic devices such as displays. We introduce the continuous liquid metal printing (CLMP) technique to enable rapid roll-to-roll compatible deposition of semiconducting two-dimensional (2D) metal oxide heterostructures. We leverage CLMP to deposit 10 cm2-scale nanosheets of InOx and GaOx in seconds at a low process temperature (T < 200 °C) in air, fabricating heterojunction thin film transistors with 100× greater Ion/Ioff, 4× steeper subthreshold slope, and a 50% increase in mobility over pure InOx channels. Detailed nanoscale characterization of the heterointerface by X-ray photoelectron spectroscopy, UV-vis, and Kelvin probe elucidates the origins of enhanced electronic transport in these 2D heterojunctions. This combination of CLMP with the electrostatic control induced by the heterostructure architecture leads to high performance (μlin up to 22.6 cm2/(V s)) while reducing the process time for metal oxide transistors by greater than 100× compared with sol-gels and vacuum deposition methods.
Collapse
Affiliation(s)
- Andrew B Hamlin
- Thayer School of Engineering, Dartmouth College, 15 Thayer Drive, Hanover, New Hampshire 03755, United States
| | - Simon A Agnew
- Thayer School of Engineering, Dartmouth College, 15 Thayer Drive, Hanover, New Hampshire 03755, United States
| | - Justin C Bonner
- Department of Materials Science and Engineering, University of Texas at Dallas, 800 West Campbell Road, Richardson, Texas 75080, United States
| | - Julia W P Hsu
- Department of Materials Science and Engineering, University of Texas at Dallas, 800 West Campbell Road, Richardson, Texas 75080, United States
| | - William J Scheideler
- Thayer School of Engineering, Dartmouth College, 15 Thayer Drive, Hanover, New Hampshire 03755, United States
| |
Collapse
|
21
|
Wang Z, Lu N, Wang J, Geng D, Wang L, Yang G. Charge Trapping and Emission Properties in CAAC-IGZO Transistor: A First-Principles Calculations. MATERIALS (BASEL, SWITZERLAND) 2023; 16:2282. [PMID: 36984162 PMCID: PMC10058374 DOI: 10.3390/ma16062282] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Figures] [Subscribe] [Scholar Register] [Received: 02/28/2023] [Revised: 03/08/2023] [Accepted: 03/09/2023] [Indexed: 06/18/2023]
Abstract
The c-axis aligned crystalline indium-gallium-zinc-oxide field-effect transistor (CAAC-IGZO FET), exhibiting an extremely low off-state leakage current (~10-22 A/μm), has promised to be an ideal candidate for Dynamic Random Access Memory (DRAM) applications. However, the instabilities leaded by the drift of the threshold voltage in various stress seriously affect the device application. To better develop high performance CAAC-IGZO FET for DRAM applications, it's essential to uncover the deep physical process of charge transport mechanism in CAAC-IGZO FET. In this work, by combining the first-principles calculations and nonradiative multiphonon theory, the charge trapping and emission properties in CAAC-IGZO FET have been systematically investigated. It is found that under positive bias stress, hydrogen interstitial in Al2O3 gate dielectric is probable effective electron trap center, which has the transition level (ε (+1/-1) = 0.52 eV) above Fermi level. But it has a high capture barrier about 1.4 eV and low capture rate. Under negative bias stress, oxygen vacancy in Al2O3 gate dielectric and CAAC-IGZO active layer are probable effective electron emission centers whose transition level ε (+2/0) distributed at -0.73~-0.98 eV and 0.69 eV below Fermi level. They have a relatively low emission barrier of about 0.5 eV and 0.25 eV and high emission rate. To overcome the instability in CAAC-IGZO FET, some approaches can be taken to control the hydrogen concentration in Al2O3 dielectric layer and the concentration of the oxygen vacancy. This work can help to understand the mechanisms of instability of CAAC-IGZO transistor caused by the charge capture/emission process.
Collapse
Affiliation(s)
- Ziqi Wang
- State Key Lab of Fabrication Technologies for Integrated Circuits, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China; (Z.W.)
- Laboratory of Microelectronic Devices and Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China
- University of Chinese Academy of Sciences, Beijing 100029, China
| | - Nianduan Lu
- State Key Lab of Fabrication Technologies for Integrated Circuits, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China; (Z.W.)
- Laboratory of Microelectronic Devices and Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China
- University of Chinese Academy of Sciences, Beijing 100029, China
| | - Jiawei Wang
- State Key Lab of Fabrication Technologies for Integrated Circuits, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China; (Z.W.)
- Laboratory of Microelectronic Devices and Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China
- University of Chinese Academy of Sciences, Beijing 100029, China
| | - Di Geng
- State Key Lab of Fabrication Technologies for Integrated Circuits, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China; (Z.W.)
- Laboratory of Microelectronic Devices and Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China
- University of Chinese Academy of Sciences, Beijing 100029, China
| | - Lingfei Wang
- State Key Lab of Fabrication Technologies for Integrated Circuits, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China; (Z.W.)
- Laboratory of Microelectronic Devices and Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China
- University of Chinese Academy of Sciences, Beijing 100029, China
| | - Guanhua Yang
- State Key Lab of Fabrication Technologies for Integrated Circuits, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China; (Z.W.)
- Laboratory of Microelectronic Devices and Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China
- University of Chinese Academy of Sciences, Beijing 100029, China
| |
Collapse
|
22
|
Wu CH, Mohanty SK, Huang BW, Chang KM, Wang SJ, Ma KJ. High-mobility and low subthreshold swing amorphous InGaZnO thin-film transistors by in situH 2plasma and neutral oxygen beam irradiation treatment. NANOTECHNOLOGY 2023; 34:175202. [PMID: 36696686 DOI: 10.1088/1361-6528/acb5f9] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 11/30/2022] [Accepted: 01/25/2023] [Indexed: 06/17/2023]
Abstract
In this work, staggered bottom-gate structure amorphous In-Ga-Zn-O (a-IGZO) thin film transistors (TFTs) with high-k ZrO2gate dielectric were fabricated using low-cost atmospheric pressure-plasma enhanced chemical vapor deposition (AP-PECVD) within situhydrogenation to modulate the carrier concentration and improve interface quality. Subsequently, a neutral oxygen beam irradiation (NOBI) technique is applied, demonstrating that a suitable NOBI treatment could successfully enhance electrical characteristics by reducing native defect states and minimize the trap density in the back channel. A reverse retrograde channel (RRGC) with ultra-high/low carrier concentration is also formed to prevent undesired off-state leakage current and achieve a very low subthreshold swing. The resulting a-IGZO TFTs exhibit excellent electrical characteristics, including a low subthreshold swing of 72 mV dec-1and high field-effect mobility of 35 cm2V-1s-1, due to conduction path passivation and stronger carrier confinement in the RRGC. The UV-vis spectroscopy shows optical transmittance above 90% in the visible range of the electromagnetic spectrum. The study confirms the H2plasma with NOBI-treated a-IGZO/ZrO2TFT is a promising candidate for transparent electronic device applications.
Collapse
Affiliation(s)
- Chien-Hung Wu
- Department of Optoelectronics & Materials Engineering, Chung Hua University, Hsinchu, 30010, Taiwan, ROC
| | - Srikant Kumar Mohanty
- UST-IPPP, College of Electrical and Computer Engineering, National Yang Ming Chiao Tung University, Hsinchu, 30010, Taiwan, ROC
| | - Bo-Wen Huang
- Institute of Electronics, National Yang Ming Chiao Tung University, Hsinchu, 30010, Taiwan, ROC
| | - Kow-Ming Chang
- Institute of Electronics, National Yang Ming Chiao Tung University, Hsinchu, 30010, Taiwan, ROC
| | - Shui-Jinn Wang
- Department of Electrical Engineering, National Cheng Kung University, Tainan, Taiwan, ROC
| | - Kung-Jeng Ma
- Department of Optoelectronics & Materials Engineering, Chung Hua University, Hsinchu, 30010, Taiwan, ROC
| |
Collapse
|
23
|
Park JM, Lee H, Lee G, Jang SC, Chang YH, Hong H, Chung KB, Lee KJ, Kim DH, Kim HS. Organic/Inorganic Hybrid Top-Gate Transistors with Ultrahigh Electron Mobility via Enhanced Electron Pathways. ACS APPLIED MATERIALS & INTERFACES 2023; 15:1525-1534. [PMID: 36538477 DOI: 10.1021/acsami.2c16881] [Citation(s) in RCA: 1] [Impact Index Per Article: 1.0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/17/2023]
Abstract
The top-gate structure is currently adopted in various flat-panel displays because of its diverse advantages such as passivation from the external environment and process compatibility with industries. However, the mobility of the currently commercialized top-gate oxide thin-film transistors (TFTs) is insufficient to drive ultrahigh-resolution displays. Accordingly, this work suggests metal-capped Zn-Ba-Sn-O transistors with top-gate structures for inducing mobility-enhancing effects. The fabricated top-gate device contains para-xylylene (PPx), which is deposited by a low-temperature chemical vapor deposition (CVD) process, as a dielectric layer and exhibits excellent interfacial and dielectric properties. A technology computer-aided design (TCAD) device simulation reveals that the mobility enhancement in the Al-capped (Zn,Ba)SnO3 (ZBTO) TFT is attributed not only to the increase in the electron concentration, which is induced by band engineering due to the Al work function but also to the increased electron velocity due to the redistribution of the lateral electric field. As a result, the mobility of the Al-capped top-gate ZBTO device is 5 times higher (∼110 cm2/Vs) than that of the reference device. These results demonstrate the applicability of top-gate oxide TFTs with ultrahigh mobility in a wide range of applications, such as for high-resolution, large-area, and flexible displays.
Collapse
Affiliation(s)
- Ji-Min Park
- Department of Materials Science and Engineering, Chungnam National University, Daejeon34134, Republic of Korea
| | - Hyunkyu Lee
- School of Electrical Engineering, Kookmin University, Seoul02707, Republic of Korea
| | - GunOh Lee
- Department of Chemical Engineering & Applied Chemistry, Chungnam National University, Daejeon34134, Republic of Korea
| | - Seong Cheol Jang
- Department of Materials Science and Engineering, Chungnam National University, Daejeon34134, Republic of Korea
| | - Yun Hee Chang
- Department of Materials Science and Engineering, Chungnam National University, Daejeon34134, Republic of Korea
| | - Hyunmin Hong
- Division of Physics and Semiconductor Science, Dongguk University, Seoul04620, Republic of Korea
| | - Kwun-Bum Chung
- Division of Physics and Semiconductor Science, Dongguk University, Seoul04620, Republic of Korea
| | - Kyung Jin Lee
- Department of Chemical Engineering & Applied Chemistry, Chungnam National University, Daejeon34134, Republic of Korea
| | - Dae Hwan Kim
- School of Electrical Engineering, Kookmin University, Seoul02707, Republic of Korea
| | - Hyun-Suk Kim
- Department of Materials Science and Engineering, Chungnam National University, Daejeon34134, Republic of Korea
| |
Collapse
|
24
|
Sivan M, Leong JF, Ghosh J, Tang B, Pan J, Zamburg E, Thean AVY. Physical Insights into Vacancy-Based Memtransistors: Toward Power Efficiency, Reliable Operation, and Scalability. ACS NANO 2022; 16:14308-14322. [PMID: 36103401 PMCID: PMC10653274 DOI: 10.1021/acsnano.2c04504] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.5] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 05/08/2022] [Accepted: 09/06/2022] [Indexed: 06/15/2023]
Abstract
Memtransistors that combine the properties of transistor and memristor hold significant promise for in-memory computing. While superior data storage capability is achieved in memtransistors through gate voltage-induced conductance modulation, the lateral device configuration would not only result in high write bias, which compromises the power efficiency, but also suffers from unsuccessful memory reset that leads to reliability concerns. To circumvent such performance limitations, an advanced physics-based model is required to uncover the dynamic resistive switching behavior and deduce the key driving parameters for the switching process. This work demonstrates a self-consistent physics-based model which incorporates the often-overlooked effects of lattice temperature, vacancy dynamics, and channel electrostatics to accurately solve the interaction between gate potential, ions, and carriers on the memristive switching mechanism. The completed model is carefully calibrated with an ambipolar WSe2 memtransistor and hence enables the investigation of the carrier polarity effect (electrons vs holes) on vacancy transport. Nevertheless, the validity of the model can be extended to different materials by a simple material-dependent parameter modification. Building upon the existing understanding of Schottky barrier height modulation, our study reveals three key insights─leveraging threshold voltage shifts to lower write bias; optimizing lattice temperature distribution and read bias polarity to achieve successful memory state recovery; engineering contact work function to overcome the detrimental parasitic current flow in short channel ambipolar memtransistors. Therefore, understanding the significant correlation between the switching mechanisms, different material systems, and device structures allows performance optimization of operating modes and device designs for future memtransistors-based computing systems.
Collapse
Affiliation(s)
- Maheswari Sivan
- Department of Electrical
and Computer Engineering, National University
of Singapore, Singapore 117576, Singapore
| | - Jin Feng Leong
- Department of Electrical
and Computer Engineering, National University
of Singapore, Singapore 117576, Singapore
| | - Joydeep Ghosh
- Department of Electrical
and Computer Engineering, National University
of Singapore, Singapore 117576, Singapore
| | - Baoshan Tang
- Department of Electrical
and Computer Engineering, National University
of Singapore, Singapore 117576, Singapore
| | - Jieming Pan
- Department of Electrical
and Computer Engineering, National University
of Singapore, Singapore 117576, Singapore
| | - Evgeny Zamburg
- Department of Electrical
and Computer Engineering, National University
of Singapore, Singapore 117576, Singapore
| | - Aaron Voon-Yew Thean
- Department of Electrical
and Computer Engineering, National University
of Singapore, Singapore 117576, Singapore
| |
Collapse
|
25
|
Shen C, Yin Z, Collins F, Pinna N. Atomic Layer Deposition of Metal Oxides and Chalcogenides for High Performance Transistors. ADVANCED SCIENCE (WEINHEIM, BADEN-WURTTEMBERG, GERMANY) 2022; 9:e2104599. [PMID: 35712776 PMCID: PMC9376853 DOI: 10.1002/advs.202104599] [Citation(s) in RCA: 13] [Impact Index Per Article: 6.5] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Figures] [Subscribe] [Scholar Register] [Received: 10/15/2021] [Revised: 03/23/2022] [Indexed: 06/15/2023]
Abstract
Atomic layer deposition (ALD) is a deposition technique well-suited to produce high-quality thin film materials at the nanoscale for applications in transistors. This review comprehensively describes the latest developments in ALD of metal oxides (MOs) and chalcogenides with tunable bandgaps, compositions, and nanostructures for the fabrication of high-performance field-effect transistors. By ALD various n-type and p-type MOs, including binary and multinary semiconductors, can be deposited and applied as channel materials, transparent electrodes, or electrode interlayers for improving charge-transport and switching properties of transistors. On the other hand, MO insulators by ALD are applied as dielectrics or protecting/encapsulating layers for enhancing device performance and stability. Metal chalcogenide semiconductors and their heterostructures made by ALD have shown great promise as novel building blocks to fabricate single channel or heterojunction materials in transistors. By correlating the device performance to the structural and chemical properties of the ALD materials, clear structure-property relations can be proposed, which can help to design better-performing transistors. Finally, a brief concluding remark on these ALD materials and devices is presented, with insights into upcoming opportunities and challenges for future electronics and integrated applications.
Collapse
Affiliation(s)
- Chengxu Shen
- Institut für Chemie and IRIS Adlershof, Humboldt-Universität zu Berlin, Brook-Taylor-Str. 2, Berlin, 12489, Germany
| | - Zhigang Yin
- Institut für Chemie and IRIS Adlershof, Humboldt-Universität zu Berlin, Brook-Taylor-Str. 2, Berlin, 12489, Germany
- State Key Laboratory of Structural Chemistry, Fujian Institute of Research on the Structure of Matter, Chinese Academy of Sciences, 155 Yangqiao West Road, Fuzhou, Fujian, 350002, China
- Fujian Science & Technology Innovation Laboratory for Optoelectronic Information of China, Fuzhou, Fujian, 350108, China
| | - Fionn Collins
- Institut für Chemie and IRIS Adlershof, Humboldt-Universität zu Berlin, Brook-Taylor-Str. 2, Berlin, 12489, Germany
| | - Nicola Pinna
- Institut für Chemie and IRIS Adlershof, Humboldt-Universität zu Berlin, Brook-Taylor-Str. 2, Berlin, 12489, Germany
| |
Collapse
|
26
|
Kundu S, Decoster S, Bezard P, Nalin Mehta A, Dekkers H, Lazzarino F. High-Density Patterning of InGaZnO by CH 4: a Comparative Study of RIE and Pulsed Plasma ALE. ACS APPLIED MATERIALS & INTERFACES 2022; 14:34029-34039. [PMID: 35850517 DOI: 10.1021/acsami.2c07514] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.5] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/15/2023]
Abstract
InGaZnO (IGZO)-based thin-film transistors and selector diodes are increasingly investigated for a broad range of applications such as high-resolution displays, high-density memories, and high-speed computing. However, its potential to be a key material for next-generation devices is strongly contingent on developing patterning processes with minimal damage at nanoscale dimensions. IGZO can be etched using CH4-based plasma. Although the etched by-products are volatile, there remains a concern that passivation─an associated effect arising from the use of a hydrocarbon etchant─may inhibit the patterning process. However, there has been limited discussion on the CH4-based etching of IGZO and the subsequent patterning challenges arising with pitch scaling (<200 nm). In this work, we systematically investigate dry chemical etching schemes to pattern an IGZO film into densely packed nanostructures using CH4. Straight IGZO lines, ∼45 nm in width at a pitch of ∼135 nm, are produced by employing the traditional reactive ion etching method. While the passivating effect of CH4 does not impede the etching process, any further shrinkage of feature and pitch dimensions amplifies reactive ion etching-induced damage in the form of profile distortion and residue redeposition. We show that this is efficiently addressed via atomic layer etching (ALE) of IGZO with CH4 using a pulsed plasma. The unique combination of ALE and plasma pulsing enables controlled reduction of ion-assisted sputtering and redeposition of residues on the patterned IGZO features. This approach is highly scalable and is successfully applied here to achieve well-separated IGZO lines, with critical dimensions down to ∼20 nm at a dense pitch of ∼36 nm. These lines exhibit steep profiles (∼80°) and no undesirable change in IGZO composition post-patterning. Finally, ALE of IGZO under pulsed plasma, reproduced on 300 mm wafers, highlights its suitability in large-scale manufacturing for the intended applications.
Collapse
|
27
|
Bae SH, Yang JH, Kim YH, Kwon YH, Seong NJ, Choi KJ, Hwang CS, Yoon SM. Roles of Oxygen Interstitial Defects in Atomic-Layer Deposited InGaZnO Thin Films with Controlling the Cationic Compositions and Gate-Stack Processes for the Devices with Subμm Channel Lengths. ACS APPLIED MATERIALS & INTERFACES 2022; 14:31010-31023. [PMID: 35785988 DOI: 10.1021/acsami.2c07258] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/15/2023]
Abstract
Roles of oxygen interstitial defects located in the In-Ga-Zn-O (IGZO) thin films prepared by atomic layer deposition were investigated with controlling the cationic compositions and gate-stack process conditions. It was found from the spectroscopic ellipsometry analysis that the excess oxygens increased with increasing the In contents within the IGZO channels. While the device using the IGZO channel with an In/Ga ratio of 0.2 did not show marked differences with the variations in the oxidant types during the gate-stack formation, the device characteristics were severely deteriorated with increasing the In/Ga ratio to 1.4, when the Al2O3 gate insulator (GI) was prepared with the H2O oxidants (H2O-Al2O3) due to a higher amount of excess oxygen in the channel. Additionally, during the deposition process of the Al-doped ZnO (AZO) gate electrode (GE) replacing from the indium-tin oxide (ITO) GE, the thermal annealing effect at 180 °C facilitated the passivation of oxygen vacancy and the strengthening of metal-oxygen bonding, which could stabilize the TFT operations. From these results, the gate-stack structure employing O3-processed Al2O3 GI (O3-Al2O3) and AZO GE (OA) was suggested to be most suitable for the device using IGZO channel with a higher In content. On the other hand, the device employing H2O-Al2O3 GI and AZO GE exhibited larger negative shifts of threshold voltage (VTH) under positive-bias-temperature stress (PBTS) condition than the device employing O3- Al2O3 GI and ITO GE due to larger hydrogen contents within the gate stacks. Anomalous negative shifts of VTH were accelerated with increasing the In contents of the IGZO channel. When the channel length of the fabricated device were scaled down to submicrometer regime, the OA gate stacks successfully alleviated the short-channel effects.
Collapse
Affiliation(s)
- Soo-Hyun Bae
- Department of Advanced Materials Engineering for Information and Electronics, Kyung Hee University, Yongin, Gyeonggi-do 17104, Korea
| | - Jong-Heon Yang
- ICT Creative Research Laboratory, Electronics and Telecommunications Research Institute, Daejeon 34129, Korea
| | - Yong-Hae Kim
- ICT Creative Research Laboratory, Electronics and Telecommunications Research Institute, Daejeon 34129, Korea
| | | | | | | | - Chi-Sun Hwang
- ICT Creative Research Laboratory, Electronics and Telecommunications Research Institute, Daejeon 34129, Korea
| | - Sung-Min Yoon
- Department of Advanced Materials Engineering for Information and Electronics, Kyung Hee University, Yongin, Gyeonggi-do 17104, Korea
| |
Collapse
|
28
|
Cho MH, Choi CH, Jeong JK. Comparative Study of Atomic Layer Deposited Indium-Based Oxide Transistors with a Fermi Energy Level-Engineered Heterojunction Structure Channel through a Cation Combinatorial Approach. ACS APPLIED MATERIALS & INTERFACES 2022; 14:18646-18661. [PMID: 35426670 DOI: 10.1021/acsami.1c23889] [Citation(s) in RCA: 7] [Impact Index Per Article: 3.5] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/14/2023]
Abstract
Amorphous indium-gallium-zinc oxide (a-IGZO) has become a standard channel ingredient of switching/driving transistors in active-matrix organic light-emitting diode (AMOLED) televisions. However, mobile AMOLED displays with a high pixel density (≥500 pixels per inch) and good form factor do not often employ a-IGZO transistors due to their modest mobility (10-20 cm2/(V s)). Hybrid low-temperature polycrystalline silicon and oxide transistor (LTPO) technology is being adapted in high-end mobile AMOLED devices due to its ultralow power consumption and excellent current drivability. The critical issues of LTPO (including a complicated structure and high fabrication costs) require a search for alternative all-oxide thin-film transistors (TFTs) with low-cost processability and simple device architecture. The atomic layer deposition (ALD) method is a promising route for high-performance all-oxide TFTs due to its unique features, such as in situ cation composition tailoring ability, precise nanoscale thickness controllability, and excellent step coverage. Here, we report an in-depth comparative investigation of TFTs with indium-gallium oxide (IGO)/gallium-zinc oxide (GZO) and indium-zinc oxide (IZO)/GZO heterojunction stacks using an ALD method. IGO and IZO layers with different compositions were tested as a confinement layer (CL), whereas the GZO layer was used as a barrier layer (BL). Optimal IGO/GZO and IZO/GZO channels were carefully designed on the basis of their energy band properties, where the formation of a quasi-two-dimensional electron gas (q2DEG) near the CL/BL interface is realized by rational design of the band gaps and work-functions of the IGO, IZO, and GZO thin films. To verify the effect of q2DEG formation, the device performances and stabilities of TFTs with CL/BL oxide heterojunction stacks were examined and compared to those of TFTs with a single CL layer. The optimized device with the In0.75Zn0.25O/Ga0.80Zn0.20O stack showed remarkable electrical performance: μFE of 76.7 ± 0.51 cm2/(V s), VTH of -0.37 ± 0.19 V, SS of 0.13 ± 0.01 V/dec, and ION/OFF of 2.5 × 1010 with low operation voltage range of ≥2 V and excellent stabilities (ΔVTH of +0.35, -0.67, and +0.08 V for PBTS, NBIS, and CCS, respectively). This study suggests the feasibility of using high-performance ALD-derived oxide TFTs (which can compete with the performance of LTPO transistors) for high-end mobile AMOLED displays.
Collapse
|
29
|
Park B, Kim M, Kang Y, Park HB, Kim MG, Park SK, Kim YH. Highly Reliable Implementation of Optimized Multicomponent Oxide Systems Enabled by Machine Learning-Based Synthetic Protocol. SMALL METHODS 2021; 5:e2101293. [PMID: 34928010 DOI: 10.1002/smtd.202101293] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 10/15/2021] [Indexed: 06/14/2023]
Abstract
Multicomponent oxide systems are one of the essential building blocks in a broad range of electronic devices. However, due to the complex physical correlation between the cation components and their relations with the system, finding an optimal combination for desired physical and/or chemical properties requires an exhaustive experimental procedure. Here, a machine learning (ML)-based synthetic approach is proposed to explore the optimal combination conditions in a ternary cationic compound indium-zinc-tin oxide (IZTO) semiconductor exhibiting high carrier mobility. In particular, by using support vector regression algorithm with radial basis function kernel, highly accurate mobility prediction can be achieved for multicomponent IZTO semiconductor with a sufficiently small number of train datasets (15-20 data points). With a synergetic combination of solution-based synthetic route for IZTO fabrication enabling a facile control of the composition ratio and tailored ML process for multicomponent system, the prediction of high-performance IZTO thin-film transistors is possible with expected field-effect mobility as high as 13.06 cm2 V-1 s-1 at the In:Zn:Sn ratio of 63:27:10. The ML prediction is successfully translated into the empirical analysis with high accuracy, validating the protocol is reliable and a promising approach to accelerate the optimization process for multicomponent oxide systems.
Collapse
Affiliation(s)
- Boyeon Park
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon, 16419, Korea
| | - Minho Kim
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon, 16419, Korea
| | - Youngjin Kang
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon, 16419, Korea
| | - Hun-Bum Park
- School of Electrical and Electronic Engineering, Chung-Ang University, Seoul, 06974, Korea
| | - Myung-Gil Kim
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon, 16419, Korea
| | - Sung Kyu Park
- School of Electrical and Electronic Engineering, Chung-Ang University, Seoul, 06974, Korea
| | - Yong-Hoon Kim
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon, 16419, Korea
| |
Collapse
|
30
|
Im C, Kim J, Cho NK, Park J, Lee EG, Lee SE, Na HJ, Gong YJ, Kim YS. Analysis of Interface Phenomena for High-Performance Dual-Stacked Oxide Thin-Film Transistors via Equivalent Circuit Modeling. ACS APPLIED MATERIALS & INTERFACES 2021; 13:51266-51278. [PMID: 34668371 DOI: 10.1021/acsami.1c17351] [Citation(s) in RCA: 2] [Impact Index Per Article: 0.7] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/13/2023]
Abstract
Oxide thin-film transistors (TFTs) have attracted much attention because they can be applied to flexible and large-scaled switching devices. Especially, oxide semiconductors (OSs) have been developed as active layers of TFTs. Among them, indium-gallium-zinc oxide (IGZO) is actively used in the organic light-emitting diode display field. However, despite their superior off-state properties, IGZO TFTs are limited by low field-effect mobility, which critically affects display resolution and power consumption. Herein, we determine new working mechanisms in dual-stacked OS, and based on this, we develop a dual-stacked OS-based TFT with improved performance: high field-effect mobility (∼80 cm2/V·s), ideal threshold voltage near 0 V, high on-off current ratio (>109), and good stability at bias stress. Induced areas are formed at the interface by the band offset: band offset-induced area (BOIA) and BOIA-induced area (BIA). They connect the gate bias-induced area (GBIA) and electrode bias-induced area (EBIA), resulting in high current flow. Equivalent circuit modeling and the transmission line method are also introduced for more precise verification. By verifying current change with gate voltage in the single OS layer, the current flowing direction in the dual-stacked OS is calculated and estimated. This is powerful evidence to understand the conduction mechanism in a dual-stacked OS-based TFT, and it will provide new design rules for high-performance OS-based TFTs.
Collapse
Affiliation(s)
- Changik Im
- Program in Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Gwanak-ro 1, Gwanak-gu, Seoul 08826, Republic of Korea
| | - Jiyeon Kim
- Department of Applied Bioengineering, Graduate School of Convergence Science and Technology, Seoul National University, Gwanak-ro 1, Gwanak-gu, Seoul 08826, Republic of Korea
| | - Nam-Kwang Cho
- Program in Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Gwanak-ro 1, Gwanak-gu, Seoul 08826, Republic of Korea
| | - Jintaek Park
- Program in Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Gwanak-ro 1, Gwanak-gu, Seoul 08826, Republic of Korea
- Samsung Display Company, Ltd., 1 Samsung-ro, Giheung-gu, Yongin-si, Gyeonggi-do 17113, Republic of Korea
| | - Eun Goo Lee
- Program in Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Gwanak-ro 1, Gwanak-gu, Seoul 08826, Republic of Korea
- Samsung Display Company, Ltd., 1 Samsung-ro, Giheung-gu, Yongin-si, Gyeonggi-do 17113, Republic of Korea
| | - Sung-Eun Lee
- Program in Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Gwanak-ro 1, Gwanak-gu, Seoul 08826, Republic of Korea
- Samsung Display Company, Ltd., 1 Samsung-ro, Giheung-gu, Yongin-si, Gyeonggi-do 17113, Republic of Korea
| | - Hyun-Jae Na
- Program in Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Gwanak-ro 1, Gwanak-gu, Seoul 08826, Republic of Korea
- Samsung Display Company, Ltd., 1 Samsung-ro, Giheung-gu, Yongin-si, Gyeonggi-do 17113, Republic of Korea
| | - Yong Jun Gong
- Program in Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Gwanak-ro 1, Gwanak-gu, Seoul 08826, Republic of Korea
| | - Youn Sang Kim
- Program in Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Gwanak-ro 1, Gwanak-gu, Seoul 08826, Republic of Korea
- Department of Applied Bioengineering, Graduate School of Convergence Science and Technology, Seoul National University, Gwanak-ro 1, Gwanak-gu, Seoul 08826, Republic of Korea
- School of Chemical and Biological Engineering, and Institute of Chemical Processes, College of Engineering, Seoul National University, Gwanak-ro 1, Gwanak-gu, Seoul 08826, Republic of Korea
- Advanced Institutes of Convergence Technology, 145 Gwanggyo-ro, Yeongtong-gu, Suwon 16229, Republic of Korea
| |
Collapse
|
31
|
Yoo H, Lee IS, Jung S, Rho SM, Kang BH, Kim HJ. A Review of Phototransistors Using Metal Oxide Semiconductors: Research Progress and Future Directions. ADVANCED MATERIALS (DEERFIELD BEACH, FLA.) 2021; 33:e2006091. [PMID: 34048086 DOI: 10.1002/adma.202006091] [Citation(s) in RCA: 26] [Impact Index Per Article: 8.7] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 09/06/2020] [Revised: 10/15/2020] [Indexed: 06/12/2023]
Abstract
Metal oxide thin-film transistors have been continuously researched and mass-produced in the display industry. However, their phototransistors are still in their infancy. In particular, utilizing metal oxide semiconductors as phototransistors is difficult because of the limited light absorption wavelength range and persistent photocurrent (PPC) phenomenon. Numerous studies have attempted to improve the detectable light wavelength range and the PPC phenomenon. Here, recent studies on metal oxide phototransistors are reviewed, which have improved the range of light wavelengths and the PPC phenomenon by introducing an absorption layer of oxide or non-oxide hybrid structure. The materials of the absorption layer applied to absorb long-wavelength light are classified into oxides, chalcogenides, organic materials, perovskites, and nanodots. Finally, next-generation convergence studies combined with other research fields are introduced and future research directions are detailed.
Collapse
Affiliation(s)
- Hyukjoon Yoo
- School of Electrical and Electronic Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul, 03722, Republic of Korea
| | - I Sak Lee
- School of Electrical and Electronic Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul, 03722, Republic of Korea
| | - Sujin Jung
- School of Electrical and Electronic Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul, 03722, Republic of Korea
| | - Sung Min Rho
- School of Electrical and Electronic Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul, 03722, Republic of Korea
| | - Byung Ha Kang
- School of Electrical and Electronic Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul, 03722, Republic of Korea
| | - Hyun Jae Kim
- School of Electrical and Electronic Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul, 03722, Republic of Korea
| |
Collapse
|
32
|
Lee S, Kim M, Mun G, Ko J, Yeom HI, Lee GH, Shong B, Park SHK. Effects of Al Precursors on the Characteristics of Indium-Aluminum Oxide Semiconductor Grown by Plasma-Enhanced Atomic Layer Deposition. ACS APPLIED MATERIALS & INTERFACES 2021; 13:40134-40144. [PMID: 34396768 DOI: 10.1021/acsami.1c11304] [Citation(s) in RCA: 5] [Impact Index Per Article: 1.7] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/13/2023]
Abstract
Atomic layer deposition (ALD) has attracted much attention, particularly for applications in nanoelectronics because of its atomic-level controllability and high-quality products. In this study, we developed a plasma-enhanced atomic layer deposition (PEALD) process to fabricate a homogeneous indium aluminum oxide (IAO) semiconductor film. Trimethylaluminum (TMA) and dimethylaluminum isopropoxide (DMAI) were used as Al precursors, which yielded different compositions. Density functional theory (DFT) calculations on the surface reactions between indium and aluminum precursors showed that while highly reactive TMA would etch In, DMAI with lower reactivity would allow indium to persist in the films, resulting in a more controlled doping of Al. The In/Al composition ratio could be further precisely controlled by adjusting the indium precursor dose time to sub-saturation. IAO based on DMAI was applied to fabricate thin-film transistors (TFTs), showing that Al can be a carrier suppressor of indium oxide. TFTs with PEALD IAO containing 3.8 atomic % Al showed a turn-on voltage of -0.4 ± 0.3 V, a subthreshold slope of 0.09 V/decade, and a field effect mobility of 18.9 cm2/(V s).
Collapse
Affiliation(s)
- Seunghee Lee
- Department of Materials Science and Engineering, Korea Advanced Institute of Science and Technology (KAIST), 291 Daehak-ro, Yuseong-gu, Daejeon 34141, Republic of Korea
| | - Miso Kim
- Department of Chemical Engineering, Hongik University, 94 Wausan-ro, Mapo-gu, Seoul 04066, Republic of Korea
| | - Geumbi Mun
- Department of Materials Science and Engineering, Korea Advanced Institute of Science and Technology (KAIST), 291 Daehak-ro, Yuseong-gu, Daejeon 34141, Republic of Korea
| | - Jongbeom Ko
- Department of Materials Science and Engineering, Korea Advanced Institute of Science and Technology (KAIST), 291 Daehak-ro, Yuseong-gu, Daejeon 34141, Republic of Korea
| | - Hye-In Yeom
- Department of Materials Science and Engineering, Korea Advanced Institute of Science and Technology (KAIST), 291 Daehak-ro, Yuseong-gu, Daejeon 34141, Republic of Korea
| | - Gwang-Heum Lee
- Department of Materials Science and Engineering, Korea Advanced Institute of Science and Technology (KAIST), 291 Daehak-ro, Yuseong-gu, Daejeon 34141, Republic of Korea
| | - Bonggeun Shong
- Department of Chemical Engineering, Hongik University, 94 Wausan-ro, Mapo-gu, Seoul 04066, Republic of Korea
| | - Sang-Hee Ko Park
- Department of Materials Science and Engineering, Korea Advanced Institute of Science and Technology (KAIST), 291 Daehak-ro, Yuseong-gu, Daejeon 34141, Republic of Korea
| |
Collapse
|
33
|
Etching Characteristics and Changes in Surface Properties of IGZO Thin Films by O2 Addition in CF4/Ar Plasma. COATINGS 2021. [DOI: 10.3390/coatings11080906] [Citation(s) in RCA: 4] [Impact Index Per Article: 1.3] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 11/16/2022]
Abstract
Plasma etching processes for multi-atomic oxide thin films have become increasingly important owing to the excellent material properties of such thin films, which can potentially be employed in next-generation displays. To fabricate high-performance and reproducible devices, the etching mechanism and surface properties must be understood. In this study, we investigated the etching characteristics and changes in the surface properties of InGaZnO4 (IGZO) thin films with the addition of O2 gases based on a CF4/Ar high-density-plasma system. A maximum etch rate of 32.7 nm/min for an IGZO thin film was achieved at an O2/CF4/Ar (=20:25:75 sccm) ratio. The etching mechanism was interpreted in detail through plasma analysis via optical emission spectroscopy and surface analysis via X-ray photoelectron microscopy. To determine the performance variation according to the alteration in the surface composition of the IGZO thin films, we investigated the changes in the work function, surface energy, and surface roughness through ultraviolet photoelectron spectroscopy, contact angle measurement, and atomic force microscopy, respectively. After the plasma etching process, the change in work function was up to 280 meV, the thin film surface became slightly hydrophilic, and the surface roughness slightly decreased. This work suggests that plasma etching causes various changes in thin-film surfaces, which affects device performance.
Collapse
|
34
|
Hong T, Jeong HJ, Lee HM, Choi SH, Lim JH, Park JS. Significance of Pairing In/Ga Precursor Structures on PEALD InGaO x Thin-Film Transistor. ACS APPLIED MATERIALS & INTERFACES 2021; 13:28493-28502. [PMID: 34115464 DOI: 10.1021/acsami.1c06575] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.3] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/12/2023]
Abstract
Atomic layer deposition (ALD) is a promising deposition method to precisely control the thickness and metal composition of oxide semiconductors, making them attractive materials for use in thin-film transistors because of their high mobility and stability. However, multicomponent deposition using ALD is difficult to control without understanding the growth mechanisms of the precursors and reactants. Thus, the adsorption and surface reactivity of various precursors must be investigated. In this study, InGaO (IGO) semiconductors were deposited by plasma-enhanced atomic layer deposition (PEALD) using two sets of In and Ga precursors. The first set of precursors consisted of In(CH3)3[CH3OCH2CH2NHtBu] (TMION) and Ga(CH3)3[CH3OCH2CH2NHtBu]) (TMGON), denoted as TM-IGO; the other set of precursors was (CH3)2In(CH2)3N(CH3)2 (DADI) and (CH3)3Ga (TMGa), denoted as DT-IGO. We varied the number of InO subcycles between 3 and 19 to control the chemical composition of the ALD-processed films. The indium compositions of TM-IGO and DT-IGO thin films increased as the InO subcycles increased. However, the indium/gallium metal ratios of TM-IGO and DT-IGO were quite different, despite having the same InO subcycles. The steric hindrance of the precursors and different densities of the adsorption sites contributed to the different TM-IGO and DT-IGO metal ratios. The electrical properties of the precursors, such as Hall characteristics and device parameters of the thin-film transistors, were also different, even though the same deposition process was used. These differences might have resulted from the growth behavior, anion/cation ratios, and binding states of the IGO thin films.
Collapse
Affiliation(s)
- TaeHyun Hong
- Division of Materials Science and Engineering, Hanyang University, Seoul, Korea
| | - Hyun-Jun Jeong
- Division of Materials Science and Engineering, Hanyang University, Seoul, Korea
| | - Hyun-Mo Lee
- Division of Materials Science and Engineering, Hanyang University, Seoul, Korea
| | - Su-Hwan Choi
- Division of Nanoscale Semiconductor Engineering, Hanyang University, Seoul, Korea
| | | | - Jin-Seong Park
- Division of Materials Science and Engineering, Hanyang University, Seoul, Korea
- Division of Nanoscale Semiconductor Engineering, Hanyang University, Seoul, Korea
| |
Collapse
|
35
|
Lee Y, Nam T, Seo S, Yoon H, Oh IK, Lee CH, Yoo H, Kim HJ, Choi W, Im S, Yang JY, Choi DW, Yoo C, Kim HJ, Kim H. Hydrogen Barriers Based on Chemical Trapping Using Chemically Modulated Al 2O 3 Grown by Atomic Layer Deposition for InGaZnO Thin-Film Transistors. ACS APPLIED MATERIALS & INTERFACES 2021; 13:20349-20360. [PMID: 33818057 DOI: 10.1021/acsami.1c02597] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.3] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/12/2023]
Abstract
In this study, the excellent hydrogen barrier properties of the atomic-layer-deposition-grown Al2O3 (ALD Al2O3) are first reported for improving the stability of amorphous indium gallium zinc oxide (a-IGZO) thin-film transistors (TFTs). Chemical species in Al2O3 were artificially modulated during the ALD process using different oxidants, such as H2O and O3 (H2O-Al2O3 and O3-Al2O3, respectively). When hydrogen was incorporated into the H2O-Al2O3-passivated TFT, a large negative shift in Vth (ca. -12 V) was observed. In contrast, when hydrogen was incorporated into the O3-Al2O3-passivated TFT, there was a negligible shift in Vth (ca. -0.66 V), which indicates that the O3-Al2O3 has a remarkable hydrogen barrier property. We presented a mechanism for trapping hydrogen in a O3-Al2O3 via various chemical and electrical analyses and revealed that hydrogen molecules were trapped by C-O bonds in the O3-Al2O3, preventing the inflow of hydrogen to the a-IGZO. Additionally, to minimize the deterioration of the pristine device that occurs after a barrier deposition, a bi-layered hydrogen barrier by stacking H2O- and O3-Al2O3 is adopted. Such a barrier can provide ultrastable performance without degradation. Therefore, we envisioned that the excellent hydrogen barrier suggested in this paper can provide the possibility of improving the stability of devices in various fields by effectively blocking hydrogen inflows.
Collapse
Affiliation(s)
- Yujin Lee
- School of Electrical and Electronics Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 03722, Republic of Korea
| | - Taewook Nam
- School of Electrical and Electronics Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 03722, Republic of Korea
- Department of Chemistry, University of Colorado Boulder, Boulder, Colorado 80309, United States
| | - Seunggi Seo
- School of Electrical and Electronics Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 03722, Republic of Korea
| | - Hwi Yoon
- School of Electrical and Electronics Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 03722, Republic of Korea
| | - Il-Kwon Oh
- School of Electrical and Electronics Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 03722, Republic of Korea
- Department of Electrical and Computer Engineering, Ajou University, Suwon 16499, Republic of Korea
| | - Chong Hwon Lee
- School of Electrical and Electronics Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 03722, Republic of Korea
- LG Display Company, Ltd., 245 LG-ro, Wollong-myeon, Paju-si, Gyeonggi-do 10845, Republic of Korea
| | - Hyukjoon Yoo
- School of Electrical and Electronics Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 03722, Republic of Korea
| | - Hyun Jae Kim
- School of Electrical and Electronics Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 03722, Republic of Korea
| | - Wonjun Choi
- Department of Physics, Van der Waals Materials Research Center, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 03722, Republic of Korea
| | - Seongil Im
- Department of Physics, Van der Waals Materials Research Center, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 03722, Republic of Korea
| | - Joon Young Yang
- LG Display Company, Ltd., 245 LG-ro, Wollong-myeon, Paju-si, Gyeonggi-do 10845, Republic of Korea
| | - Dong Wook Choi
- LG Display Company, Ltd., 245 LG-ro, Wollong-myeon, Paju-si, Gyeonggi-do 10845, Republic of Korea
| | - Choongkeun Yoo
- LG Display Company, Ltd., 245 LG-ro, Wollong-myeon, Paju-si, Gyeonggi-do 10845, Republic of Korea
| | - Ho-Jin Kim
- LG Display Company, Ltd., 245 LG-ro, Wollong-myeon, Paju-si, Gyeonggi-do 10845, Republic of Korea
| | - Hyungjun Kim
- School of Electrical and Electronics Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 03722, Republic of Korea
| |
Collapse
|
36
|
Amorphous NdIZO Thin Film Transistors with Contact-Resistance-Adjustable Cu S/D Electrodes. MEMBRANES 2021; 11:membranes11050337. [PMID: 33946591 PMCID: PMC8147199 DOI: 10.3390/membranes11050337] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.3] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Download PDF] [Figures] [Subscribe] [Scholar Register] [Received: 04/11/2021] [Revised: 04/27/2021] [Accepted: 04/30/2021] [Indexed: 11/30/2022]
Abstract
High-performance amorphous oxide semiconductor thin film transistors (AOS-TFT) with copper (Cu) electrodes are of great significance for next-generation large-size, high-refresh rate and high-resolution panel display technology. In this work, using rare earth dopant, neodymium-doped indium-zinc-oxide (NdIZO) film was optimized as the active layer of TFT with Cu source and drain (S/D) electrodes. Under the guidance of the Taguchi orthogonal design method from Minitab software, the semiconductor characteristics were evaluated by microwave photoconductivity decay (μ-PCD) measurement. The results show that moderate oxygen concentration (~5%), low sputtering pressure (≤5 mTorr) and annealing temperature (≤300 °C) are conducive to reducing the shallow localized states of NdIZO film. The optimized annealing temperature of this device configuration is as low as 250 °C, and the contact resistance (RC) is modulated by gate voltage (VG) instead of a constant value when annealed at 300 °C. It is believed that the adjustable RC with VG is the key to keeping both high mobility and compensation of the threshold voltage (Vth). The optimal device performance was obtained at 250 °C with an Ion/Ioff ratio of 2.89 × 107, a saturation mobility (μsat) of 24.48 cm2/(V·s) and Vth of 2.32 V.
Collapse
|
37
|
Cho MH, Choi CH, Seul HJ, Cho HC, Jeong JK. Achieving a Low-Voltage, High-Mobility IGZO Transistor through an ALD-Derived Bilayer Channel and a Hafnia-Based Gate Dielectric Stack. ACS APPLIED MATERIALS & INTERFACES 2021; 13:16628-16640. [PMID: 33793185 DOI: 10.1021/acsami.0c22677] [Citation(s) in RCA: 16] [Impact Index Per Article: 5.3] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/12/2023]
Abstract
Ultrahigh-resolution displays for augmented reality (AR) and virtual reality (VR) applications require a novel architecture and process. Atomic-layer deposition (ALD) enables the facile fabrication of indium-gallium zinc oxide (IGZO) thin-film transistors (TFTs) on a substrate with a nonplanar surface due to its excellent step coverage and accurate thickness control. Here, we report all-ALD-derived TFTs using IGZO and HfO2 as the channel layer and gate insulator, respectively. A bilayer IGZO channel structure consisting of a 10 nm base layer (In0.52Ga0.29Zn0.19O) with good stability and a 3 nm boost layer (In0.82Ga0.08Zn0.10O) with extremely high mobility was designed based on a cation combinatorial study of the ALD-derived IGZO system. Reducing the thickness of the HfO2 dielectric film by the ALD process offers high areal capacitance in field-effect transistors, which allows low-voltage drivability and enhanced carrier transport. The intrinsic inferior stability of the HfO2 gate insulator was effectively mitigated by the insertion of an ALD-derived 4 nm Al2O3 interfacial layer between HfO2 and the IGZO film. The optimized bilayer IGZO TFTs with HfO2-based gate insulators exhibited excellent performances with a high field-effect mobility of 74.0 ± 0.91 cm2/(V s), a low subthreshold swing of 0.13 ± 0.01 V/dec, a threshold voltage of 0.20 ± 0.24 V, and an ION/OFF of ∼3.2 × 108 in a low-operation-voltage (≤2 V) range. This promising result was due to the synergic effects of a bilayer IGZO channel and HfO2-based gate insulator with a high permittivity, which were mainly attributed to the effective carrier confinement in the boost layer with high mobility, low free carrier density of the base layer with a low VO concentration, and HfO2-induced high effective capacitance.
Collapse
Affiliation(s)
- Min Hoe Cho
- Department of Electronic Engineering, Hanyang University, Seoul 04763, South Korea
| | - Cheol Hee Choi
- Department of Electronic Engineering, Hanyang University, Seoul 04763, South Korea
| | - Hyeon Joo Seul
- Department of Electronic Engineering, Hanyang University, Seoul 04763, South Korea
| | - Hyun Cheol Cho
- Department of Electronic Engineering, Hanyang University, Seoul 04763, South Korea
| | - Jae Kyeong Jeong
- Department of Electronic Engineering, Hanyang University, Seoul 04763, South Korea
| |
Collapse
|
38
|
Saraswat V, Jacobberger RM, Arnold MS. Materials Science Challenges to Graphene Nanoribbon Electronics. ACS NANO 2021; 15:3674-3708. [PMID: 33656860 DOI: 10.1021/acsnano.0c07835] [Citation(s) in RCA: 48] [Impact Index Per Article: 16.0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/12/2023]
Abstract
Graphene nanoribbons (GNRs) have recently emerged as promising candidates for channel materials in future nanoelectronic devices due to their exceptional electronic, thermal, and mechanical properties and chemical inertness. However, the adoption of GNRs in commercial technologies is currently hampered by materials science and integration challenges pertaining to synthesis and devices. In this Review, we present an overview of the current status of challenges, recent breakthroughs toward overcoming these challenges, and possible future directions for the field of GNR electronics. We motivate the need for exploration of scalable synthetic techniques that yield atomically precise, placed, registered, and oriented GNRs on CMOS-compatible substrates and stimulate ideas for contact and dielectric engineering to realize experimental performance close to theoretically predicted metrics. We also briefly discuss unconventional device architectures that could be experimentally investigated to harness the maximum potential of GNRs in future spintronic and quantum information technologies.
Collapse
Affiliation(s)
- Vivek Saraswat
- Department of Materials Science and Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706, United States
| | - Robert M Jacobberger
- Department of Materials Science and Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706, United States
| | - Michael S Arnold
- Department of Materials Science and Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706, United States
| |
Collapse
|
39
|
Napari M, Huq TN, Meeth DJ, Heikkilä MJ, Niang KM, Wang H, Iivonen T, Wang H, Leskelä M, Ritala M, Flewitt AJ, Hoye RLZ, MacManus-Driscoll JL. Role of ALD Al 2O 3 Surface Passivation on the Performance of p-Type Cu 2O Thin Film Transistors. ACS APPLIED MATERIALS & INTERFACES 2021; 13:4156-4164. [PMID: 33443398 DOI: 10.1021/acsami.0c18915] [Citation(s) in RCA: 4] [Impact Index Per Article: 1.3] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/12/2023]
Abstract
High-performance p-type oxide thin film transistors (TFTs) have great potential for many semiconductor applications. However, these devices typically suffer from low hole mobility and high off-state currents. We fabricated p-type TFTs with a phase-pure polycrystalline Cu2O semiconductor channel grown by atomic layer deposition (ALD). The TFT switching characteristics were improved by applying a thin ALD Al2O3 passivation layer on the Cu2O channel, followed by vacuum annealing at 300 °C. Detailed characterization by transmission electron microscopy-energy dispersive X-ray analysis and X-ray photoelectron spectroscopy shows that the surface of Cu2O is reduced following Al2O3 deposition and indicates the formation of a 1-2 nm thick CuAlO2 interfacial layer. This, together with field-effect passivation caused by the high negative fixed charge of the ALD Al2O3, leads to an improvement in the TFT performance by reducing the density of deep trap states as well as by reducing the accumulation of electrons in the semiconducting layer in the device off-state.
Collapse
Affiliation(s)
- Mari Napari
- Department of Materials Science and Metallurgy, University of Cambridge, Cambridge CB3 0FS, U.K
| | - Tahmida N Huq
- Department of Materials Science and Metallurgy, University of Cambridge, Cambridge CB3 0FS, U.K
| | - David J Meeth
- Electrical Engineering Division, Department of Engineering, University of Cambridge, Cambridge CB3 0FA, U.K
| | - Mikko J Heikkilä
- Department of Chemistry, University of Helsinki, Helsinki FI-00014, Finland
| | - Kham M Niang
- Electrical Engineering Division, Department of Engineering, University of Cambridge, Cambridge CB3 0FA, U.K
| | - Han Wang
- School of Materials Engineering, Purdue University, West Lafayette, Indiana 47907, United States
| | - Tomi Iivonen
- Department of Chemistry, University of Helsinki, Helsinki FI-00014, Finland
| | - Haiyan Wang
- School of Materials Engineering, Purdue University, West Lafayette, Indiana 47907, United States
| | - Markku Leskelä
- Department of Chemistry, University of Helsinki, Helsinki FI-00014, Finland
| | - Mikko Ritala
- Department of Chemistry, University of Helsinki, Helsinki FI-00014, Finland
| | - Andrew J Flewitt
- Electrical Engineering Division, Department of Engineering, University of Cambridge, Cambridge CB3 0FA, U.K
| | - Robert L Z Hoye
- Department of Materials Science and Metallurgy, University of Cambridge, Cambridge CB3 0FS, U.K
| | | |
Collapse
|
40
|
Lei L, Tan Y, Yuan X, Dou W, Zhang J, Wang Y, Zeng S, Deng S, Guo H, Zhou W, Tang D. Flexible electric-double-layer thin film transistors based on a vertical InGaZnO 4 channel. RSC Adv 2021; 11:17910-17913. [PMID: 35480189 PMCID: PMC9033188 DOI: 10.1039/d1ra02155a] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Track Full Text] [Download PDF] [Figures] [Journal Information] [Subscribe] [Scholar Register] [Received: 03/18/2021] [Accepted: 05/13/2021] [Indexed: 11/21/2022] Open
Abstract
Flexible electric-double-layer (EDL) thin film transistors (TFTs) based on a vertical InGaZnO4 (IGZO) channel are fabricated at room temperature.
Collapse
|
41
|
Cho TH, Farjam N, Allemang CR, Pannier CP, Kazyak E, Huber C, Rose M, Trejo O, Peterson RL, Barton K, Dasgupta NP. Area-Selective Atomic Layer Deposition Patterned by Electrohydrodynamic Jet Printing for Additive Manufacturing of Functional Materials and Devices. ACS NANO 2020; 14:17262-17272. [PMID: 33216539 DOI: 10.1021/acsnano.0c07297] [Citation(s) in RCA: 8] [Impact Index Per Article: 2.0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/11/2023]
Abstract
There is an increasing interest in additive nanomanufacturing processes, which enable customizable patterning of functional materials and devices on a wide range of substrates. However, there are relatively few techniques with the ability to directly 3D print patterns of functional materials with sub-micron resolution. In this study, we demonstrate the use of additive electrohydrodynamic jet (e-jet) printing with an average line width of 312 nm, which acts as an inhibitor for area-selective atomic layer deposition (AS-ALD) of a range of metal oxides. We also demonstrate subtractive e-jet printing with solvent inks that dissolve polymer inhibitor layers in specific regions, which enables localized AS-ALD within those regions. The chemical selectivity and morphology of e-jet patterned polymers towards binary and ternary oxides of ZnO, Al2O3, and SnO2 were quantified using X-ray photoelectron spectroscopy, atomic force microscopy, and Auger electron spectroscopy. This approach enables patterning of functional oxide semiconductors, insulators, and transparent conducting oxides with tunable composition, Å-scale control of thickness, and sub-μm resolution in the x-y plane. Using a combination of additive and subtractive e-jet printing with AS-ALD, a thin-film transistor was fabricated using zinc-tin-oxide for the semiconductor channel and aluminum-doped zinc oxide as the source and drain electrical contacts. In the future, this technique can be used to print integrated electronics with sub-micron resolution on a variety of substrates.
Collapse
|
42
|
Yang HJ, Seul HJ, Kim MJ, Kim Y, Cho HC, Cho MH, Song YH, Yang H, Jeong JK. High-Performance Thin-Film Transistors with an Atomic-Layer-Deposited Indium Gallium Oxide Channel: A Cation Combinatorial Approach. ACS APPLIED MATERIALS & INTERFACES 2020; 12:52937-52951. [PMID: 33172258 DOI: 10.1021/acsami.0c16325] [Citation(s) in RCA: 14] [Impact Index Per Article: 3.5] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/11/2023]
Abstract
The effect of gallium (Ga) concentration on the structural evolution of atomic-layer-deposited indium gallium oxide (IGO) (In1-xGaxO) films as high-mobility n-channel semiconducting layers was investigated. Different Ga concentrations in 10-13 nm thick In1-xGaxO films allowed versatile phase structures to be amorphous, highly ordered, and randomly oriented crystalline by thermal annealing at either 400 or 700 °C for 1 h. Heavy Ga concentrations above 34 atom % caused a phase transformation from a polycrystalline bixbyite to an amorphous IGO film at 400 °C, while proper Ga concentration produced a highly ordered bixbyite crystal structure at 700 °C. The resulting highly ordered In0.66Ga0.34O film show unexpectedly high carrier mobility (μFE) values of 60.7 ± 1.0 cm2 V-1 s-1, a threshold voltage (VTH) of -0.80 ± 0.05 V, and an ION/OFF ratio of 5.1 × 109 in field-effect transistors (FETs). In contrast, the FETs having polycrystalline In1-xGaxO films with higher In fractions (x = 0.18 and 0.25) showed reasonable μFE values of 40.3 ± 1.6 and 31.5 ± 2.4 cm2 V-1 s-1, VTH of -0.64 ± 0.40 and -0.43 ± 0.06 V, and ION/OFF ratios of 2.5 × 109 and 1.4 × 109, respectively. The resulting superior performance of the In0.66Ga0.34O-film-based FET was attributed to a morphology having fewer grain boundaries, with higher mass densification and lower oxygen vacancy defect density of the bixbyite crystallites. Also, the In0.66Ga0.34O transistor was found to show the most stable behavior against an external gate bias stress.
Collapse
Affiliation(s)
- Hyun Ji Yang
- Department of Electronic Engineering, Hanyang University, Seoul 133-791, South Korea
| | - Hyeon Joo Seul
- Department of Electronic Engineering, Hanyang University, Seoul 133-791, South Korea
| | - Min Jae Kim
- Department of Electronic Engineering, Hanyang University, Seoul 133-791, South Korea
| | - Yerin Kim
- Department of Chemical Engineering, Inha University, Incheon 22212, South Korea
| | - Hyun Cheol Cho
- Department of Electronic Engineering, Hanyang University, Seoul 133-791, South Korea
| | - Min Hoe Cho
- Department of Electronic Engineering, Hanyang University, Seoul 133-791, South Korea
| | - Yun Heub Song
- Department of Electronic Engineering, Hanyang University, Seoul 133-791, South Korea
| | - Hoichang Yang
- Department of Chemical Engineering, Inha University, Incheon 22212, South Korea
| | - Jae Kyeong Jeong
- Department of Electronic Engineering, Hanyang University, Seoul 133-791, South Korea
| |
Collapse
|
43
|
Kim HR, Kim GH, Seong NJ, Choi KJ, Kim SK, Yoon SM. Comparative studies on vertical-channel charge-trap memory thin-film transistors using In-Ga-Zn-O active channels deposited by sputtering and atomic layer depositions. NANOTECHNOLOGY 2020; 31:435702. [PMID: 32647094 DOI: 10.1088/1361-6528/aba46e] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/11/2023]
Abstract
Vertical-channel charge-trap memory thin film-transistors (V-CTM TFTs) using oxide semiconductors were fabricated and characterized, in which In-Ga-Zn-O (IGZO) channels were prepared by sputtering and atomic-layer deposition (ALD) methods to elucidate the effects of deposition process. The vertical-channel gate stack of the fabricated device was verified to be well implemented on the vertical sidewall of the spacer patterns due to excellent step-coverage and self-limiting mechanisms of ALD process. The V-CTM TFTs using ALD-IGZO channel exhibited a wide memory window (MW) of 15.0 V at a VGS sweep of ±20 V and a large memory margin of 1.6 × 102 at a program pulse duration as short as 5 ms. The programmed memory margin higher than 105 did not experience any degradation with time evolution for 104 s. The mechanical durability was also evaluated after the delamination process of polyimide (PI) film. There were no marked variations in charge-trap-assisted MW even at a curvature radius of 1 mm and programmed memory margin even after repeated program operations of 104 cycles. The introduction of ALD process for the formation of IGZO active channel was suggested as a main process parameter to ensure the excellent memory device characteristics of the V-CTM TFTs.
Collapse
Affiliation(s)
- Hyeong-Rae Kim
- Department of Advanced Materials Engineering for Information and Electronics, Kyung Hee University, Yongin, Gyeonggi-do 17104, Republic of Korea
| | | | | | | | | | | |
Collapse
|
44
|
Seul HJ, Kim MJ, Yang HJ, Cho MH, Cho MH, Song WB, Jeong JK. Atomic Layer Deposition Process-Enabled Carrier Mobility Boosting in Field-Effect Transistors through a Nanoscale ZnO/IGO Heterojunction. ACS APPLIED MATERIALS & INTERFACES 2020; 12:33887-33898. [PMID: 32571011 DOI: 10.1021/acsami.0c06382] [Citation(s) in RCA: 15] [Impact Index Per Article: 3.8] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/11/2023]
Abstract
Low-temperature (≤400 °C), stackable oxide semiconductors are promising as an upper transistor ingredient for monolithic three-dimensional integration. The atomic layer deposition (ALD) route provides a low-defect, high-quality semiconducting oxide channel layer and enables accurate controllability of the chemical composition and physical thickness as well as excellent step coverage on nanoscale trench structures. Here, we report a high-mobility heterojunction transistor in a ternary indium gallium zinc oxide system using the ALD technique. The heterojunction channel structure consists of a 10 nm thick indium gallium oxide (IGO) layer as an effective transporting layer and a 3 nm thick, wide band gap ZnO layer. The formation of a two-dimensional electron gas was suggested by controlling the band gap of the IGO quantum well through In/Ga ratio tailoring and reducing the physical thickness of the ZnO film. A field-effect transistor (FET) with a ZnO/In0.83Ga0.17O1.5 heterojunction channel exhibited the highest field-effect mobility of 63.2 ± 0.26 cm2/V s, a low subthreshold gate swing of 0.26 ± 0.03 V/dec, a threshold voltage of -0.84 ± 0.85 V, and an ION/OFF ratio of 9 × 108. This surpasses the performance (carrier mobility of ∼41.7 ± 1.43 cm2/V s) of an FET with a single In0.83Ga0.17O1.5 channel. Furthermore, the gate bias stressing test results indicate that FETs with a ZnO/In1-xGaxO1.5 (x = 0.25 and 0.17) heterojunction channel are much more stable than those with a single In1-xGaxO1.5 (x = 0.35, 0.25, and 0.17) channel. Relevant discussion is given in detail on the basis of chemical characterization and technological computer-aided design simulation.
Collapse
Affiliation(s)
- Hyeon Joo Seul
- Department of Electronic Engineering, Hanyang University, Seoul 04763, South Korea
| | - Min Jae Kim
- Department of Electronic Engineering, Hanyang University, Seoul 04763, South Korea
| | - Hyun Ji Yang
- Department of Electronic Engineering, Hanyang University, Seoul 04763, South Korea
| | - Min Hoe Cho
- Department of Electronic Engineering, Hanyang University, Seoul 04763, South Korea
| | - Min Hee Cho
- Semiconductor R&D Center, Samsung Electronics Company, 1, Samsungjeonja-ro, Hwaseong-si 18448, Gyeonggi-do, Korea
| | - Woo-Bin Song
- Semiconductor R&D Center, Samsung Electronics Company, 1, Samsungjeonja-ro, Hwaseong-si 18448, Gyeonggi-do, Korea
| | - Jae Kyeong Jeong
- Department of Electronic Engineering, Hanyang University, Seoul 04763, South Korea
| |
Collapse
|
45
|
Chen Z, Sheleg G, Shekhar H, Tessler N. Structure-Property Relation in Organic-Metal Oxide Hybrid Phototransistors. ACS APPLIED MATERIALS & INTERFACES 2020; 12:15430-15438. [PMID: 32134241 PMCID: PMC7467547 DOI: 10.1021/acsami.9b22165] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Figures] [Subscribe] [Scholar Register] [Received: 12/07/2019] [Accepted: 03/05/2020] [Indexed: 06/10/2023]
Abstract
We report an optoelectronic device consisting of a solution-processed indium gallium zinc oxide (IGZO) thin-film transistor and vacuum-deposited small organic molecules. Depending on the configurations of the organic materials, either bulk heterojunction or planar heterojunction (PHJ), the device assumes the functionality of either a photosensor or a photoinduced memory, respectively. Under λ = 625 nm light illumination, the photosensor shows response and recovery time of ∼50 ms, responsivity of ∼5 mA/W, sensitivity above 104, and a linear response. The mechanism of the photoinduced memory is studied experimentally and verified using a device simulation. We find that the memory is due to long charge retention time at the organic PHJ interface which is stable for over 9 days. It is correlated with the low leakage current found in ordered organic junctions having low subgap tail states. The presented integration of the PHJ with the transistor constitutes a new design of write-once-read-many-times memory device that is likely to be attractive for low-cost applications.
Collapse
|
46
|
Oluwabi AT, Gaspar D, Katerski A, Mere A, Krunks M, Pereira L, Oja Acik I. Influence of Post-UV/Ozone Treatment of Ultrasonic-Sprayed Zirconium Oxide Dielectric Films for a Low-Temperature Oxide Thin Film Transistor. MATERIALS 2019; 13:ma13010006. [PMID: 31861357 PMCID: PMC6981653 DOI: 10.3390/ma13010006] [Citation(s) in RCA: 8] [Impact Index Per Article: 1.6] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Download PDF] [Figures] [Subscribe] [Scholar Register] [Received: 10/04/2019] [Revised: 11/29/2019] [Accepted: 12/13/2019] [Indexed: 11/30/2022]
Abstract
Solution-processed metal oxides require a great deal of thermal budget in order to achieve the desired film properties. Here, we show that the deposition temperature of sprayed zirconium oxide (ZrOx) thin film can be lowered by exposing the film surface to an ultraviolet (UV) ozone treatment at room temperature. Atomic force microscopy reveals a smooth and uniform film with the root mean square roughness reduced from ~ 0.63 nm (UVO-O) to ~ 0.28 nm (UVO-120) in the UV–ozone treated ZrOx films. X-ray photoelectron spectroscopy analysis indicates the formation of a Zr–O network on the surface film, and oxygen vacancy is reduced in the ZrOx lattice by increasing the UV–ozone treatment time. The leakage current density in Al/ZrOx/p-Si structure was reduced by three orders of magnitude by increasing the UV-ozone exposure time, while the capacitance was in the range 290–266 nF/cm2, corresponding to a relative permittivity (k) in the range 5.8–6.6 at 1 kHz. An indium gallium zinc oxide (IGZO)-based thin film transistor, employing a UV-treated ZrOx gate dielectric deposited at 200 °C, exhibits negligible hysteresis, an Ion/Ioff ratio of 104, a saturation mobility of 8.4 cm2 V−1S−1, a subthreshold slope of 0.21 V.dec−1, and a Von of 0.02 V. These results demonstrate the potentiality of low-temperature sprayed amorphous ZrOx to be applied as a dielectric in flexible and low-power-consumption oxide electronics.
Collapse
Affiliation(s)
- Abayomi Titilope Oluwabi
- Laboratory of Thin Film Chemical Technologies, Department of Materials and Environmental Technology, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn, Estonia
- Correspondence: (A.T.O.); (I.O.A.); Tel.: +372-5671-0366 (A.T.O.); +372-620-3369 (I.O.A.)
| | - Diana Gaspar
- i3N/CENIMAT, Department of Materials Science School of Science and Technology, FCT-NOVA, Universidade NOVA de Lisboa and CEMOP/UNINOVA, Campus de Caparica, 2829-516 Caparica, Portugal
| | - Atanas Katerski
- Laboratory of Thin Film Chemical Technologies, Department of Materials and Environmental Technology, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn, Estonia
| | - Arvo Mere
- Laboratory of Thin Film Chemical Technologies, Department of Materials and Environmental Technology, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn, Estonia
| | - Malle Krunks
- Laboratory of Thin Film Chemical Technologies, Department of Materials and Environmental Technology, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn, Estonia
| | - Luis Pereira
- i3N/CENIMAT, Department of Materials Science School of Science and Technology, FCT-NOVA, Universidade NOVA de Lisboa and CEMOP/UNINOVA, Campus de Caparica, 2829-516 Caparica, Portugal
| | - Ilona Oja Acik
- Laboratory of Thin Film Chemical Technologies, Department of Materials and Environmental Technology, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn, Estonia
- Correspondence: (A.T.O.); (I.O.A.); Tel.: +372-5671-0366 (A.T.O.); +372-620-3369 (I.O.A.)
| |
Collapse
|